lab 5 decodificadoeres

11
UNIVERSIDAD DE PANAMÁ FACULTAD DE INGENIERÍA ELECTRÓNICA DIGITAL LABORATORIO # 4 TEMA: CODIFICADORES Y DECODIFICADORES PRESENTADO POR: MARILYN ÁBREGO 8-899-1797 JOSÉ SOLANILLA 8-886-1512 BRENDA MEREL 9-743-805 PROFESOR: RAFAEL ASPRILLA FECHA DE ENTREGA: JUEVES 19 DE NOVIEMBRE DE 2015

Upload: brenda-merel-cheng

Post on 28-Jan-2016

234 views

Category:

Documents


0 download

DESCRIPTION

como se comportan las salidas de un demultiplexor, y el mecanismo en el cual basan su funcionamiento

TRANSCRIPT

Page 1: LAb 5 Decodificadoeres

UNIVERSIDAD DE PANAMÁ

FACULTAD DE INGENIERÍA

ELECTRÓNICA DIGITAL

LABORATORIO # 4

TEMA:

CODIFICADORES Y DECODIFICADORES

PRESENTADO POR:

MARILYN ÁBREGO 8-899-1797

JOSÉ SOLANILLA 8-886-1512

BRENDA MEREL 9-743-805

PROFESOR:

RAFAEL ASPRILLA

FECHA DE ENTREGA:

JUEVES 19 DE NOVIEMBRE DE 2015

Page 2: LAb 5 Decodificadoeres

Resumen Los decodificadores son circuitos combinacionales de N entradas y un número de salidas

menor o igual a 2n .Básicamente funciona de manera que al aparecer una combinación binaria

en sus entradas, se activa una sola de sus salidas(no siempre).

Un codificador es un circuito combinacional formado por 2 a la n entradas y n salidas cuya

función es tal que cuando una sola entrada adopta un determinado valor lógico (0 o 1, según

las propiedades del circuito) las salidas representan en binario el número de orden de la

entrada que adopte el valor activo, nos permiten “compactar” la información, generando un

código de salida a partir de la información de entrada.

Decodificador BCD a 7 segmentos es un dispositivo elaborado con un arreglos de LED de tal

manera que muestre los números decimales desde el cero hasta el nueve dependiendo del

dato recibido desde el decodificador, a este elemento se le conoce con el nombre de display ó

dispositivo alfanumérico de 7 segmentos.El decodificador está formado internamente por

compuertas lógicas y sus conexiones internas son un sistema predefinido por el diseñador

para que su función operacional sea un acople perfecto y efectivo con el display.

Page 3: LAb 5 Decodificadoeres

Circuito codificador decimal a bcd la característica especial de este integrado es que es un

codificador de prioridad, solamente el número decimal más alto va a estar presente en la

salida en el caso de que se tengan dos o más números en la entrada.

Introducción

En este informe se presenta una muestra de los conocimientos adquiridos en el laboratorio

sobre codificadores los cuales realizan el proceso inverso de la decodificación la cual

selecciona una única salida para conjunto de direccionamiento binario que se aplica a la

entrada de un bloque lógico combinacional.

Antecedentes:

Se obtienen funciones algebraicas de un codificador las cuales se pueden deducir a

partir de su funcionamiento. Decodificador se puede considerar que la función de

estos dispositivos es la de generar los 2N mintérminos de las “N” variables de entrada.

Esta visión es muy interesante porque pueden ser utilizados para la implementación

de cualquier función algebraica del mismo número de variables.

Marco Teo rico:

Se definen conceptos claves para la mayor comprensión del informe:

_ BCD: es un estándar para representar números decimales en el sistema binario, en

donde cada dígito decimal es codificado con una secuencia de 4 bits.

_Codificador: es un dispositivo lógico que recibe información por su entrada y la

traduce a un código, el cual depende del tipo de codificador.

-Decodificador: Es un circuito combinacional, cuya función es inversa a la del

codificador, esto es, convierte un código binario de entrada (natural, BCD, etc.) de N

bits de entrada y M líneas de salida (N puede ser cualquier entero y M es un entero

menor o igual a 2N), tales que cada línea de salida será activada para una sola de las

combinaciones posibles de entrada.

Page 4: LAb 5 Decodificadoeres

- El visualizador de siete segmentos (llamado también display por calco del inglés) es

una forma de representar números en equipos electrónicos. Está compuesto de siete

segmentos que se pueden encender o apagar individualmente. Cada segmento tiene

la forma de una pequeña línea. Se podría comparar a escribir números con cerillas o

fósforos de madera. El visualizador de 7 segmentos es un componente que se utiliza

para la representación de números en muchos dispositivos electrónicos, debido en

gran medida a su simplicidad.

Metodología

Utilizando el método experimental, se realizan los siguientes procedimientos: Armamos en un

protoboard el circuito decodificador BCD a LED de siete segmentos, para su estudio se utiliza

el circuito integrado que realiza las funciones de un decodificador y activador del código BCD

a LED de siete segmentos, utilizamos el circuito integrado 7447 o 74LS47 ya que con este

circuito integrado podemos formar los números del 0 al 9 según conectemos las cuatro patas

principales al polo positivo o negativo de nuestra fuente de alimentación, al colocar el LT a

5V,RBI a 5V Y D,C,B,A en 0V BI en 5V se encienden los segmentos a,b,c,d,e,f,g del siete

segmentos mostrando el número 0 y de esta manera al ir cambiando las entradas de igual

manera salen otros números en el siete segmento y hasta letras de alfabeto griego, y si todas

las entradas se encuentran en no me importa y el BI en 5V muestra el número 8.Solamente si

BI se encuentra en bajo nuestro display no encenderá ninguno de sus segmentos.

Page 5: LAb 5 Decodificadoeres

Resultados y Análisis

1 Circuito decodificador BCD a Led de siete segmentos

Tabla No.1

Dec. LT RBI D C B A BI/RBO a B c d e f g Figura

0 5v 5V 0V 0V 0V 0V 5V 1 1 1 1 1 1 0

1 5v X 0V 0V 0V 5V 5V 0 1 1 0 0 0 0

2 5v X 0V 0V 5V 0V 6V 1 1 0 1 1 0 1

3 5v X 0V 0V 5V 5V 5V 1 1 1 0 0 0 1

4 5v X 0V 5V 0V 0V 5V 0 1 1 0 0 1 1

5 5v X 0V 5V 0V 5V 5V 1 0 1 1 0 1 1

6 5v X 0V 5V 5V 0V 5V 0 0 1 1 1 1 1

7 5v X 0V 5V 5V 5V 5V 1 1 1 0 0 0 0

8 5v X 5v 0V 0V 0V 5V 1 1 1 1 1 1 1

9 5v X 5v 0V 0V 5V 5V 1 1 1 0 0 1 1 10 5v X 5v 0V 5V 0V 5V 0 0 0 1 1 0 1

11 5v X 5v 0V 5V 5V 5V 0 0 1 1 0 0 1

12 5v X 5v 5V 0V 0V 5V 0 1 0 0 0 1 1

13 5v X 5v 5V 0V 5V 5V 1 0 0 1 0 1 1

14 5v X 5v 5V 5V 0V 5V 0 0 0 1 1 1 1

15 5v X 5v 5V 5V 5V 5V 0 0 0 0 0 0 0 No enciende

BI X X X X X X 5V 0 0 0 0 0 0 0 No enciende

RBI 5v 0V 0v OV 0V 0V 0V 0 0 0 0 0 0 0 No enciende

Page 6: LAb 5 Decodificadoeres

LT 0v X X X X X 5V 1 1 1 1 1 1 1

Page 7: LAb 5 Decodificadoeres

Análisis:

Lo que sucede en este arreglo de circuito es que se colocan entradas binarias dependiendo de

la posición de las variables A, B, C y D colocadas a negativo o positivo en el circuito; y luego

siendo interpretadas por el decodificador el cual tomará esa señal y activará de código BCD a

LED 7 segmentos, en inversa para activar para ánodo común. Por lo tanto se verán reflejadas

en el display números en formato decimal, y salidas para entradas

2- Circuito codificador de decimal a BCD

Tabla del circuito integrado codificador 74147 o 74LS147:

ENTRADAS

DECIMAL No.

SALIDA BCD

D C B A

0 1 1 1 1

1 0 1 1 0

2 0 1 1 1

3 1 0 0 0

4 1 0 0 1

5 1 0 1 0

6 1 0 1 1

7 1 1 0 0

8 1 1 0 1

9 1 1 1 0

Page 8: LAb 5 Decodificadoeres

Análisis:

Como se puede observar, las entradas se activan conectando en bajo las entradas del

dipswitch, que luego son codificadas por el circuito integrado 74LS147, de prioridad de 4 a 10

líneas, donde luego sale por las patas inversoras, por lo que se coloca el circuito 74LS04 para

activar en alto las salidas mediante leds, los cuales solo se activarán correctamente estando

su señal en alto. Para las entradas inválidas, que van desde 10 hasta 15, no generarán

números BCD, sin embargo sí tendrán una única salida; como pequeñas letras o símbolos.

Ahora colocándole un display de siete segmentos tenemos:

Análisis:

Lo que sucede al agregarle el dispositivo 7 segmentos es que la salida pasando a través del

decodificador 74LS47 se reflejará en forma decimal a través del display 7 segmentos. Por lo

tanto el funcionamiento del circuito consiste en tener señales de entradas a través del

dipswitch pasando por el circuito codificador 74LS147, el cual convertirá la señal a BDC, para

luego ser invertida por los 74LS04, y finalmente ser decodificada por el 74LS47 y sintetizada

en el display 7 segmentos de ánodo común.

Preguntas:

Page 9: LAb 5 Decodificadoeres

Desarrollo.

TABLA DE VERDAD

ENTRADAS SALIDAS

S9 S8 S7 S6 S5 S4 S3 S2 S1 Q8 Q4 Q2 Q1

0 0 0 0 0 0 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 1 0 0 0 1

0 0 0 0 0 0 0 1 0 0 0 1 0

0 0 0 0 0 0 1 0 0 0 0 1 1

0 0 0 0 0 1 0 0 0 0 1 0 0

0 0 0 0 1 0 0 0 0 0 1 0 1

0 0 0 1 0 0 0 0 0 0 1 1 0

0 0 1 0 0 0 0 0 0 0 1 1 1

0 1 0 0 0 0 0 0 0 1 0 0 0

1 0 0 0 0 0 0 0 0 1 0 0 1

𝑄1 = 𝑆1 + 𝑆2 + 𝑆3 + 𝑆4 + 𝑆5 + 𝑆6 + 𝑆7 + 𝑆8 + 𝑆9

𝑄2 = 𝑆2 + 𝑆3 + 𝑆6 + 𝑆7

𝑄4 = 𝑆4 + 𝑆5 + 𝑆6 + 𝑆7

𝑄8 = 𝑆8 + 𝑆9

1-

2- La entrada es un número BCD de 4 BITS, el número BCD se transforma en un

código de 7 segmentos que ilumina los segmentos del visualizador LED.

También se muestran 3 entradas extras en el símbolo lógico. La entrada de test

de lámparas hará lucir todos los segmentos adecuados para ver si son

operativos.

Page 10: LAb 5 Decodificadoeres

Las entradas de borrado que son las que desconectan todos los elementos

activados. Las entradas de borrado y test de lámparas son activadas por niveles

de tensión bajo y las entradas BCD son activadas por 1 lógicos.

Observar la línea 1 de la tabla de verdad. Para que aparezca el 0 decimal en el

visualizador, las entradas BCD deben ser LLLL. Esto activará los segmentos a,

b, c, d, e y f para formar el cero decimal.

Las entradas BCD inválidas (decimal 10, 11, 12, 13, 14 y 15) no son números

BCD; sin embargo, generan una única salida. Para la línea decimal 10, entradas

HLHL, la columna de salida indica que se activan la salida d, e, y g. Formando

una pequeña c.

3- Porque es un circuito integrado que convierte el código binario de entrada en formato

BCD a niveles lógicos que permiten activar un display de 7 segmentos de ánodo

común en donde la posición de cada barra forma el número decodificado y si es de

cátodo común los segmentos se prenderán con señales positivas y si es de ánodo

común con señales negativas.

Conclusiones

Los codificadores nos permiten “compactar” la información, generando un código de

salida a partir de la información de entrada.

Un decodificador es un circuito integrado por el que se introduce un número y se activa

una y sólo una de las salidas, permaneciendo el resto desactivadas.

Existen dos tipos de visualizadores LED, el de ánodo común y el de cátodo

común.

Cátodo común: cuando todos los cátodos están unidos entre sí y van directo a

tierra.

Ánodo común: cuando todos los ánodos están conectados entre sí y van a la fuente de

alimentación como el caso del ejemplo del cual estamos hablando.

Los 7 segmentos se marcan con las letras de la a a la g.

La aplicación más vistosa de un codificador, es el teclado BCD (números de 0 a 9), que es

aplicado en los teclados de los teléfonos. En la figura 2 se muestra el bloque de un

codificador de Decimal a BCD, se ve que tiene cuatro salidas de datos (A, B, C y D) y una

Page 11: LAb 5 Decodificadoeres

quinta salida E que se activa a nivel bajo e indica el momento en que alguna de las teclas

es oprimida.

Recomendaciones

Revisar las especificaciones del decodificador e identificar los estándares.

Al momento de montar los componentes se debe estar seguro que se siguió el

diagrama correctamente.

Infografía

https://sites.google.com/site/electronicadigitalmegatec/home/deccoder-bcd-a-7-segmentos

http://www.forosdeelectronica.com/f25/codificador-decimal-bcd-2003/

https://es.wikipedia.org/wiki/Decimal_codificado_en_binario

http://www.edudevices.com.ar/download/articulos/digitales/Cur_dig_14.pdf

http://www.ladelec.com/teoria/electronica-digital/185-decodificacion-bcd-a-codigo-de-7-

segmentos

http://www.ladelec.com/teoria/electronica-digital/184-decodificador-bcd-a-decimal

https://es.wikipedia.org/wiki/Visualizador_de_siete_segmentos