reporte final digitales

Upload: david-serrano-lopez

Post on 02-Mar-2016

8 views

Category:

Documents


0 download

TRANSCRIPT

Materia:Sistemas digitales 1

Grupo: B

Profesor:Barajas Ramrez Edmundo

Alumno:David Serrano Lpez

Carrera:Ing. Mectronica

Practicas:Contadores.

ObjetivoEl objetivo de esta prctica fue la de lograr conseguir dominar el uso del algebra boleana para poder disear nosotros mismos un circuito funcional de forma binaria, simulando un circuito digital.De igual forma otro objetivo esencial fue el de aprender a usar el programa ISE de la compaa Xilins la cual es la mayor empresa en lo que respecta a los FPGAs y tambin el identificar y saber usar la tarjeta Nexys II spartan 3E-s00 g320.Y de igual forma el aprender a compilar y cargar programas en el lenguaje VHDL.Introduccin tericaUn contador es un instrumento que cuenta la cantidad de pulsos o hemiciclos de la seal de entrada durante un intervalo de tiempo determinado manualmente o en funcin de una seal de referencia. Este instrumento puede usarse en diferentes configuraciones internas a fin de poder usarlo para hacer mediciones de tiempo, periodo, frecuencia o relacin de frecuencias. Este instrumento puede usarse como frecuencmetro pero este ltimo no puede ser usado como contador. Dado que el contador es un instrumento digital, tiene la ventaja de no presentar errores de presentacin y visualizacin que tienen los instrumentos analgicos, pero presenta otros tipos de errores inherentes a los instrumentos digitales. Divisor 50 m-1La tarjeta Digilent Spartan-3E dispone de un reloj a cristal de 50 Mhz, y el Led hay que hacerlo encender y apagar con una frecuencia de 1 Hz, de tal manera que lo primero requerido es un divisor de frecuencia.El divisor de frecuencia es un componente para reducir la frecuencia de entrada. ste se implementa con ayuda de una Escala y un contador, donde la Escala es la relacin o divisin entre la frecuencia de entrada y la frecuencia de salida deseada: Escala = 50 Mhz / 1Hz = 50 000 000Recordemos que la frecuencia y el periodo de la seal son inversamente proporcionales, tal como se observa en las ondas mostradas, las cuales corresponden a un contador binario ascendente de 3 bits.Como el contador cuenta del 0 al 7 (modo 8) al dividir la seal de entrada (50 MHz) por 8 nos da 6.25 MHz, en el bit ms significativo del contador.Como el cristal tiene una frecuencia de 50MHz (frecuencia de entrada) y deseamos una salida de 1 Hz, tenemos que:Escala = 50 Mhz / 1Hz = 50 000 000 Si el reloj tiene el mismo tiempo en alto que en bajo:

SI empezamos el conteo desde cero, y un contador al llegar a 24 999 999 genera un pulso, esto quiere decir que la frecuencia de salida, de los pulsos generados, debe ser de 1 Hz.Cdigo VHDLentity contador isport(pulso : inout std_logic:='0'; clk : in std_logic; conta: buffer std_logic_vector(3 downto 0) );end contador;

architecture Behavioral of contador issignal count : integer :=1;beginprocess(clk) begin if(clk'event and clk='1') then count