lab digiii.docx

13
UNIVERSIDAD NACIONAL SAN ANTONIO ABAD DEL CUSCO Facultad de ingeniería minas, mecánica y electrónica Carrera profesional ingeniería electrónica LABORATORIO DE SISTEMAS DIGITALES II PRACTICA N° 2 INFORME FINAL CONTADORES BINARIOS DOCENTE : Ing. Alex Jhon Quispe Mescco

Upload: jacho16

Post on 02-Dec-2015

262 views

Category:

Documents


0 download

TRANSCRIPT

UNIVERSIDAD NACIONAL SAN ANTONIO ABAD DEL CUSCOFacultad de ingeniería minas, mecánica y electrónica

Carrera profesional ingeniería electrónica

LABORATORIO DE SISTEMAS DIGITALES II

PRACTICA N° 2

INFORME FINAL

CONTADORES BINARIOS

DOCENTE : Ing. Alex Jhon Quispe Mescco

ALUMNO: Matheus Rimachi Quispe cod 073354

CONTADORES BINARIOS

Contadores Asincronos

El contador tipo rizado es un contador básico comúnmente implementado con circuitos integrados. De todos los contadores éste es el más sencillo en lógica y, por lo tanto, el de diseño más fácil, sin embargo este contador está limitado por su velocidad de operación. Puesto que los flip-flops en el contador tipo rizado no están bajo el mando de un solo pulso de reloj, este contador es asincrónico.

MATERIALES Y EQUIPOS UTILIZADOS

Resistencias las necesarias a 1/4W. 2 Presets de 1MΩ 2 Capacitores de 1µF o los necesarios 15 Diodos LED diferente color 2x CI LM555, 3x 74LS76, 2x 74LS273, 2x74LS193, 2x74LS47, 2x74LS04, 2x74LS21 o las

necesarias. Protoboards suficientes para el armado. DIP Switch (8bits). 3 push botton. Fuente de alimentación de 5VCD. Multimetro. - Juego de cables para conexión Juego de cables para Osciloscopio y generador de señales.

DESARROLLO EXPERIMENTAL

EXPERIMENTO 3: Arme el circuito mostrado en la figura 4.

b) Ajustar la resistencia en el preset de tal forma que se pueda observar el canteo binaria en los LED.

c) Comprobar la numeración binaria de o a 15.

d) Conectar P1 y P2 (terminales 1 y 10) a VCC

e) Desconectar PL' (terminal 11) de VCC y conectarla a TC'U (terminal 12).

f) Observar y anotar lo que sucede en los LED.

g) Regresar P1 y P2 a GND (tierra) y desconectar PL' de TC'U y conectar PL' a VCC.

h) Conectar la señal de reloj a CPD (terminal 4, canteo descendente) y CPU (terminal 5, conteo ascendente) a VCCi) Observar y comprobar el cantea binaria descendente de 15 a 0.j) Conectar P1 y P2 a VCC (terminales 1 y 10), desconectar PL' de VCC (terminal 11) y conectarla a TC'D (terminal 13).k) Anotar lo que sucede.

1. EXPERIMENTO 4

a) Arme el circuito mostrado en la figura 5.

b) Ajuste el preset para modificar el valor de la frecuencia de salida y al mismo tiempo que observar el display..

c) Anote sus observaciones.

Simulación del circuito

Entradas de reloj CPU y CPD. El contador responderá a las TPP en una de las dos entra- das de reloj. CP u es la entrada de reloj de conteo ascendente. Cuando se apliquen los pulsos a esta entrada, el contador se incrementará (contará hacia arriba) en cadaTPP hasta llegar a un conteo máximo de 1111 j entonces se recicla a 0000 y vuelve a comenzar. CPD es la entrada de reloj de conteo descendente. Cuando se apliquen los pulsos a esta entrada, el contador decrementará (contará hacia abajo) en cada TPP hasta llegar a un conteo mínimo de 0000; entonces se recicla a 1111 y vuelve a comenzar. De este modo, se usará una entrada de reloj para contar en tanto que la otra esté inactiva (se conserve en AL TO).

Reiniciación maestra (MR). Esta es una entrada asíncrona activa en AL TO que reinicia al contador en el estado 0000. MR es un reiniciador de cd (corriente directa), de manera que mantendrá al contador en 0000 en tanto que MR =1. También elimina todas las otras entradas.Entradas prefijables. Los FF del contador pueden prestablecerse en los niveles 1ogicos presentes en las entradas paralelas de datos P3 hasta P0 pulsando momentáneamente la entrada de carga paralela

PL de AL TO a BAJO. Este es un prestablecimiento asíncrono que elimina la operaci6n de conteo. No obstante, PL no tendrá efecto si la entrada MR se encuentra en su estado activo en ALTO.

Salidas del conteo. El conteo regular siempre está presente en las salidas Q3 hasta Qo de los FF, donde Q0 es el LSB y Q3 el MSB.Salidas finales del conteo. Estas salidas se utilizan cuando dos o más unidades del 74193 se conectan como contador con etapas múltiples para producir un número MOD mayor. En el modo de conteo ascendente, la salida TCu del contador de orden inferior se conecta a la entrada CPu del siguiente contador de orden superior. En el modo de conteo descendente. la salida TCD del contador de orden inferior se conecta a la entrada CPD del siguiente contador de orden superior.

TCu es el conteo ascendente final (también llamado acarreo). Evidentemente, TCu será BAJO solo cuando el contador se encuentre en el estado 1111 y Cpu sea BAJO. Así, TCu permanecerá en AL TO cuando el contador cuente hacia arriba de 0000 a 1110. En la siguiente TPP de CP u' el conteo pasa a 1111, pero TCu no pasa a BAJO sino hasta que CPu retorna BAJO. La siguiente TPP en CPu recicla el contador a 0000 y también ocasiona que TCu retorne a ALTO. Esta TPP en TCu ocurre cuando el contador se recicla de 1111 a 0000, y se puede alimentar para cronometrar un segundo contador ascendente 74193 a su siguiente conteo superior.TCD es la salida del conteo descendente final (también llamado préstamo). Normalmente es ALTO y no pasa a BAJO sino hasta que el contador haya contado hacia abajo hasta el estado 0000 y CPD sea BAJO. Cuando la siguiente TPP en CPD recicla el contador a 1111, ocasiona que TCD regrese a AL TO .Esta TPP en TC D se puede usar para cronometrar un segundo contador descendente 74193 en su siguiente conteo inferior.

2. EXPERIMENTO 5

a) Diseñe e implemente un Contador Bidireccional Síncrono de 4 bits utilizando FFJK o FF-D.

Contador síncrono. Método sistemático de diseño

El contador bidireccional de 4 bits implica 16 estados, el estado “0” se cuenta.

Por tanto 2n-1 < 16 ≤ 2n ⇒ n = 4. Se necesitan 4 biestables, este número de biestables (4) era de esperar, ya que “15” (el mayor de los estados) se representa “1 1 1 1” en binario y es necesario un biestable por cada bit.

el diagrama de estados con el orden de la secuencia a implementar. La secuencia del contador es “0 → 16”, y viceversa.

Acontinuacion se muestra el diagrama de estados:

tabla de transiciones del biestable jk.

tabla de transiciones del contador

ENTRADAS CLK ESTADO SIGUIENTE SALIDA JKsN° A B C D E A* B* C* D* JA KA JB KB JC KC JD KD0 0 0 0 0 0 1 1 1 1 1 X 1 X 1 X 1 X1 0 0 0 0 1 0 0 0 1 0 X 0 X 0 X 1 X2 0 0 0 1 0 0 0 0 0 0 X 0 X 0 X X 13 0 0 0 1 1 0 0 1 0 0 X 0 X 1 X X 14 0 0 1 0 0 0 0 0 1 0 X 0 X X 1 1 X5 0 0 1 0 1 0 0 1 1 0 X 0 X X 0 1 X

6 0 0 1 1 0 0 0 1 0 0 X 0 X X 0 X 17 0 0 1 1 1 0 1 0 0 0 X 1 X X 1 X 18 0 1 0 0 0 0 0 1 1 0 X X 1 1 X 1 X9 0 1 0 0 1 0 1 0 1 0 X X 0 0 X 1 X

10 0 1 0 1 0 0 1 0 0 0 X X 0 0 X X 111 0 1 0 1 1 0 1 1 0 0 X X 0 1 X X 112 0 1 1 0 0 0 1 0 1 0 X X 0 X 1 1 X13 0 1 1 0 1 0 1 1 1 0 X X 0 X 0 1 X14 0 1 1 1 0 0 1 1 0 0 X X 0 X 0 X 115 0 1 1 1 1 1 0 0 0 1 X X 1 X 1 X 116 1 0 0 0 0 0 1 1 1 X 1 1 X 1 X 1 X17 1 0 0 0 1 1 0 0 1 X 0 0 X 0 X 1 X18 1 0 0 1 0 1 0 0 0 X 0 0 X 0 X X 119 1 0 0 1 1 1 0 1 0 X 0 0 X 1 X X 120 1 0 1 0 0 1 0 0 1 X 0 0 X X 1 1 X21 1 0 1 0 1 1 0 1 1 X 0 0 X X 0 1 X22 1 0 1 1 0 1 0 1 0 X 0 0 X X 0 X 123 1 0 1 1 1 1 1 0 0 X 0 1 X X 1 X 124 1 1 0 0 0 1 0 1 1 X 0 X 1 1 X 1 X25 1 1 0 0 1 1 1 0 1 X 0 X 0 X X 1 X26 1 1 0 1 0 1 1 0 0 X 0 X 0 0 X X 127 1 1 0 1 1 1 1 1 0 X 0 X 0 1 X X 128 1 1 1 0 0 1 1 0 1 X 0 X 0 X 1 1 X29 1 1 1 0 1 1 1 1 1 X 0 X 0 X 0 1 X30 1 1 1 1 0 1 1 1 0 X 0 X 0 X 0 X 131 1 1 1 1 1 0 0 0 0 X 1 X 1 X 1 X 1

ECUACIONES DE LAS ENTRADAS DE LOS BIESTABLES “JN, KN” MEDIANTE MAPAS DE CARNAUK

Las ecuaciones JA,B,C y D y KA,B,C y D son funciones de A,B,C y D

RESUMEN DE LAS ECUACIONES DE BIESTABLES JK

SIMULACION DE CONTADOR BIDIRECCIONAL CON FLIP FLOP J-K

.CONCLUSIONES:

Los contadores son circuitos integrados capaces de almacenar en cualquier momento el número de pulsos aplicados a una determinada entrada del circuito. Los contadores se dividen principalmente en: Asincronos y Sincronos

El principal componente de un contador son los flip-flops que no son más que operadores logicos biestables, es decir, tienen dos estados estables de funcionamiento.En contadores síncronos, todas las entradas de reloj (clk) están conectadas a un mismo punto, logrando así que la señal de reloj sea la misma para todos los FF del contador.

En cambio en contadores asíncronos únicamente el primer flip-flops está conectada al clock, y por consiguiente, será el único que se complemente con los demás flip-flops dependen de una combinación en las salidas para poder complementarse.

Debido al tiempo de retardo asociado a cada flip-flop, el disparo de éstos nunca se produce al mismo tiempo. Dicha circunstancia limita la máxima frecuencia de reloj a la que pueden contar. Lo que está ocurriendo es que, dependiendo de la frecuencia de reloj y de los retardos de propagación de los flip-flops, puede ocurrir la circunstancia en la que puede no llegar nunca a cumplirse. Se dice entonces que el contador es imposible de decodificar.

El circuito integrado 74193 es un contador que puede describirse como un contador ascendente / descendente prefijable MOD-16 con conteo síncrono, prefijable asíncrono y reiniciaci6n maestra asíncrona.

El método de diseño de los contadores síncronos consiste en realizar una tabla de verdad cuyas entradas sean los estados por los que se desea que pase el contador, y cuyas salidas sean los estados lógicos que debemos de aplicar a las entradas síncronas para obtener los estados lógicos deseados.

El contador bidireccional dispone de dos entradas de control del contaje (UP y DOWN), mediante las cuales se selecciona el tipo de operación a realizar, ascendente o descendente respectivamente. El ascendente empieza cuando estos pines se encuentran en los estados que indica la tabla de función así como el descendente.

A través de esta práctica comprobamos que nuestros resultados obtenidos en el circuito propuesto contador ascendente asíncrono son los mismos que en las tablas de verdad.También es importante tener un diagrama de cada circuito para poder saber la forma que en el que se conectaran estas compuertas.