diseño y realización de osciladores en la banda x

92

Upload: others

Post on 01-May-2022

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Diseño y realización de osciladores en la banda X
Page 2: Diseño y realización de osciladores en la banda X

Diseño y realización de osciladores en la banda X: aplicación potencial en esquemas de comunicaciones

satelitales.

Tesis de Maestría

Por:

María del Rocío Ricardez Trejo

Asesor: Dr. Celso Gutiérrez Martínez

Instituto Nacional de Astrofísica Óptica y Electrónica Ciencia y Tecnología del Espacio

San Andrés Cholula, Puebla. Noviembre 2017

Page 3: Diseño y realización de osciladores en la banda X

A mi familia que me ha apoyado en todo momento

y me ha impulsado a ser mejor.

Page 4: Diseño y realización de osciladores en la banda X

AGRADECIMIENTOS

Al Dr. Celso Gutiérrez Martínez por asesorarme a lo largo del desarrollo de la

tesis, por su dirección y todas sus observaciones y sus comentarios que me dejan

una clara enseñanza en la vida.

Agradezco al Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE) y al

Consejo Nacional de Ciencia y Tecnología (CONACyT) por brindar sus

instalaciones, personal, servicios y apoyo financiero durante mis estudios para

desarrollar este trabajo.

Page 5: Diseño y realización de osciladores en la banda X

RESUMEN

Los generadores de radiofrecuencia (RF) son componentes para la realización de

telecomunicaciones inalámbricas, un generador de RF debe proporcionar alta

potencia, de alta estabilidad en la frecuencia, alta pureza espectral y ruido de fase

muy bajo. Existe una gran variedad de técnicas para realizar generadores de RF,

a menudo se diseñan con arquitecturas de Lazo de Amarre de Fase (PLL, por las

siglas en inglés de Phase-Locked Loops).

Un Lazo de Amarre de Fase es un sistema de control que mediante una señal de

referencia, fija el amarre y genera una señal de salida cuya estabilidad en

frecuencia depende de la referencia. El oscilador PLL se integra por un oscilador

de referencia, un detector de fase/frecuencia (PFD, por siglas en inglés de Phase-

Frequency Detector ), un filtro de lazo pasa-bajas (LF, de Loop Filter), un oscilador

controlado por voltaje (VCO, por las siglas en inglés de Volage-Controlled

Oscillator) y una red divisora de frecuencia. En el PFD ingresan la señal de

referencia y la señal proveniente de la red divisora de frecuencia, las fases y

frecuencias de ambas señales de entrada son comparadas para generar una

señal de error cuyo valor es proporcional a la diferencia de fase/frecuencia. La

señal de error es filtrada para entregar un voltaje de corriente continua, adecuado

para fijar el punto de operación del VCO, lo cual estabiliza su frecuencia de

oscilación.

En este trabajo se presenta un simulador para el diseño de generadores PLL con

fines didácticos basado en Simulink-MATLAB®. El software implementa los

diferentes bloques funcionales del PLL: detector de fase / frecuencia de tres

estados, filtros pasa-bajas pasivos y activos, el VCO y el divisor de frecuencia. El

simulador PLL se implementa en una interfaz gráfica principal e incluye una serie

de submenús donde se describen en mayor detalle cada uno de los bloques del

PLL. Debido a que en la actualidad los satélites artificiales forman parte importante

de las comunicaciones en el mundo, y la banda “X” (7-8.5 GHz) se utiliza para

realizar enlaces tierra-espacio-tierra, en este trabajo se simula, se diseña y realiza

un PLL que opera en 7.2GHz y puede ser utilizado para transmitir imágenes y

video en tiempo real. Adicionalmente, el simulador desarrollado es capaz de

calcular PLL’s para generación de diferentes frecuencias de operación (hasta 30

GHz).

Para diseñar un oscilador PLL en una frecuencia de operación de 7.2 GHz se seleccionó un VCO comercial, que opera en el intervalo de frecuencias de 6.2 a 7.5 GHz. La frecuencia de referencia, la frecuencia a generar por el VCO, el tipo de filtro de lazo y los datos de frecuencia contra voltaje del VCO son proporcionados como datos de entrada al simulador; el cual calculará todos los parámetros de diseño como frecuencia natural, factor de amortiguamiento, frecuencia de corte del filtro, ganancia de cada uno de los bloques, valores necesarios para obtener un PLL funcional. Estos datos se despliegan como resultado de la simulación.

Page 6: Diseño y realización de osciladores en la banda X

El programa principal contiene cinco submenús: el primero de ellos es el PFD donde se muestran las señales de referencia y la del VCO dividida, la señal de error y el valor del voltaje que controlará el VCO. Además en este submenú se calcula el desfasamiento entre ambas señales. El segundo submenú corresponde al filtro de lazo pasa-bajas de un PLL, en este submenú se pueden seleccionar cuatro opciones de filtraje, pasivo de primer orden con ganancia unitaria o con ganancia variable, pasivo de segundo orden o activo de segundo orden (este último es más utilizado en sistemas PLL de alta frecuencia). Dependiendo del tipo de filtro y la frecuencia de corte, el simulador calcula los valores de resistencia y capacitor y genera los diagramas de magnitud y fase. El tercer submenú es para el oscilador controlado por voltaje, esta pestaña indica el valor de voltaje en el VCO para amarrar a la frecuencia de operación especificada. El cuarto submenú corresponde a la red divisora de frecuencia; el simulador tiene predeterminados divisores por 2, 3, 4, 5, y 8, realizando combinaciones entre ellos puede seleccionar razones de división de hasta 120. Una vez ingresados los datos de frecuencia de oscilación y de referencia el software calcula la razón de división que servirá de base para el diseño del oscilador propuesto. El último submenú calcula los diagramas de magnitud y fase de todo el sistema PLL, estos dependen del tipo de filtro que se seleccionó anteriormente, y están en función de todos los parámetros especificados para el diseño propuesto.

Con los resultados del simulador se ha realizado un oscilador experimental PLL a 7.2 GHz. Para el diseño se ha propuesto una frecuencia de referencia de 75 MHz y el simulador indica una división entera de 96, que se ha implementado mediante la conexión en cascada de tres divisores de frecuencia (/8, /4, /3). Por último, se utiliza un PFD de tres estados y un filtro de segundo orden activo que asegura la estabilidad de la frecuencia generada. Este trabajo describe que los resultados obtenidos de la simulación son la base para la fabricación del oscilador experimental. La frecuencia generada por el oscilador experimental no muestra ningún contenido de armónicos alrededor de la frecuencia central, lo que significa que su pureza espectral es alta.

Page 7: Diseño y realización de osciladores en la banda X

I

Tabla de Contenido

Introducción ........................................................................................................... 1

Objetivos ................................................................................................................ 1

Objetivo General .................................................................................................. 1

Objetivos específicos ........................................................................................... 1

Organización de la tesis ....................................................................................... 2

Capítulo 1. Generadores de radiofrecuencia en sistemas de comunicaciones

electrónicas ........................................................................................................... 3

1.1 Introducción ................................................................................................... 4

1.2 Esquema básico de un oscilador ................................................................... 4

1.3 Características de un oscilador. ..................................................................... 5

1.3.1 Criterio de estabilidad: margen de ganancia y fase ................................. 6

1.3.2 Pureza espectral ...................................................................................... 6

1.3.3 Ruido de fase ........................................................................................... 7

1.3.4 Variaciones por temperatura .................................................................... 9

1.4 Circuitos osciladores básicos ......................................................................... 9

1.4.1 Osciladores Hartley ................................................................................ 10

1.4.2 Oscilador Colpitts ................................................................................... 10

1.5 Oscilador de cristal de cuarzo ...................................................................... 11

1.6 Osciladores de microondas .......................................................................... 12

1.6.1 Osciladores con diodo Gunn .................................................................. 12

1.6.2 Oscilador Klystron .................................................................................. 14

1.6.3 Osciladores con resonadores dieléctricos ............................................. 14

1.6.4 Osciladores de lazo de amarre de fase (PLL) ........................................ 15

1.7 Conclusión ................................................................................................... 16

1.8 Referencias .................................................................................................. 17

Capítulo 2. Teoría básica de osciladores basados en lazo de amarre de fase

(PLL) ..................................................................................................................... 18

2.1 Descripción general ..................................................................................... 19

2.2 Modelo matemático básico de un PLL ......................................................... 20

2.3 Detector de fase para arquitecturas PLL ...................................................... 22

2.3.1 Detector de fase lineal ........................................................................... 22

Page 8: Diseño y realización de osciladores en la banda X

II

2.3.1.1 Multiplicador de Gilbert ....................................................................... 22

2.3.2 Detector de fase/frecuencia digital ......................................................... 24

2.3.3 Detector de fase con compuerta XOR ................................................... 24

2.3.4 Detector de fase/frecuencia de tres estados. ......................................... 25

2.4 Filtro de Lazo en un PLL .............................................................................. 28

2.4.1 Tipos de filtros de lazo utilizados en sistemas PLL ................................ 28

2.4.2 Filtro elemental de primer orden (tipo 1) ................................................ 29

2.4.3 Filtro pasivo de primer orden con factor de ganancia (tipo 2) ................ 29

2.4.4 Filtro activo de primer orden (tipo 3) ...................................................... 30

2.4.5 Filtro de lazo activo de segundo orden (tipo 4) ...................................... 31

2.4.6 Respuesta de un PLL con diferentes tipos de filtro de lazo ................... 32

2.4.7 PLL con filtro pasivo tipo 1 ..................................................................... 32

2.4.8 PLL con filtro pasivo tipo 2 ..................................................................... 34

2.4.9 PLL con filtro activo de primer orden (tipo 3) ......................................... 35

2.4.10 PLL con filtro activo de segundo orden (tipo 4) .................................... 37

2.4.11 Respuesta del error de fase en el tiempo ............................................ 37

2.5 Oscilador Controlado por Voltaje ................................................................. 39

2.6 Divisores de frecuencia ................................................................................ 40

2.6.1 Divisor de frecuencia entero .................................................................. 40

2.6.2 Divisores fraccionales de frecuencia ...................................................... 42

2.7 Intervalo de captura o amarre de un PLL ..................................................... 42

2.7.1 Intervalo de captura ............................................................................... 43

2.7.2 Intervalo de enganche o seguimiento .................................................... 43

2.8 Diseño de generadores de radiofrecuencias basados en PLL. .................... 43

2.9 Conclusiones................................................................................................ 44

2.10 Referencias ................................................................................................ 44

Capítulo 3. Simulador de un esquema básico de lazo de amarre de fase (PLL)

y su aplicación al diseño de generadores de microondas .............................. 46

3.1 Introducción ................................................................................................. 47

3.2 Parámetros de diseño de un generador de microondas en esquema PLL. . 47

3.3. Desarrollo del simulador en plataforma Simulink-Matlab ............................ 47

3.4 Respuesta del PLL ....................................................................................... 49

3.5 Ventanas secundarias del simulador ........................................................... 50

Page 9: Diseño y realización de osciladores en la banda X

III

3.6 Divisor de frecuencia .................................................................................... 51

3.7 Detector de fase ........................................................................................... 52

3.8 Filtro de Lazo ............................................................................................... 54

3.8.1 Filtros pasivos ........................................................................................ 55

3.8.2 Filtro activo ............................................................................................. 56

3.9 Oscilador controlado por voltaje ................................................................... 56

3.10 Inestabilidad del PLL .................................................................................. 58

3.11 Requerimientos computacionales .............................................................. 58

3.12 Conclusión ................................................................................................. 59

3.13 Referencias ................................................................................................ 59

Capítulo 4. Realización experimental de un generador de microondas de 7.2

GHz y su aplicación potencial en un esquema de transmisión satelital ........ 61

4.1 Introducción:................................................................................................. 62

4.2 Realización de un generador de microondas de 7.2 GHz basado en PLL ... 63

4.2.1 Señal de referencia ................................................................................ 63

4.2.2 Detector de Fase/Frecuencia (PFD) ...................................................... 63

4.2.3 Filtro de Lazo ......................................................................................... 64

4.2.4 Oscilador controlado por voltaje ............................................................ 65

4.2.5 Divisor de frecuencia ............................................................................. 66

4.3 Integración del generador PLL de 7.2 GHz .................................................. 66

4.3.1 PLL discreto ........................................................................................... 66

4.3.2 PLL integrado ........................................................................................ 68

4.3.3 Caracterización y resultados del PLL integrado ..................................... 69

4.4 Comparación del generador de microondas desarrollado con generadores

comerciales. ....................................................................................................... 69

4.5 Propuesta de aplicación: esquema modulador-demodulador de video para

transmisión inalámbrica en 7.2 GHz. ................................................................. 70

4.6 Modulador video en frecuencia modulada (FM) ........................................... 71

4.6.1 Circuito sumador del modulador de FM ................................................. 72

4.6.2 Modulador de video a 140 MHz. ............................................................ 73

4.7 Modulación de la portadora de 7.2 GHz ....................................................... 74

4.8 Conclusión ................................................................................................... 75

4.9 Referencias .................................................................................................. 75

Page 10: Diseño y realización de osciladores en la banda X

IV

Conclusiones y trabajo a futuro ......................................................................... 76

Conclusiones ..................................................................................................... 77

Trabajo a futuro .................................................................................................. 78

Trabajos derivados de la tesis ........................................................................... 78

Page 11: Diseño y realización de osciladores en la banda X

V

Lista de figuras Capitulo 1:

FIGURA 1.1. DIAGRAMA A BLOQUES DE UN OSCILADOR BÁSICO ............................................ 5 FIGURA 1.2. MARGEN DE GANANCIA Y FASE ............................................................................... 6 FIGURA 1.3. COMPONENTES ARMÓNICOS DE UN OSCILADOR. ................................................ 7 FIGURA 1.4. RUIDO DE FASE. .......................................................................................................... 7 FIGURA 1.5. ESPECTRO EN FRECUENCIA DEL OSCILADOR IDEAL, Y CON RUIDO DE FASE 8 FIGURA 1.6. MEDICIÓN DEL RUIDO DE FASE ................................................................................ 8

FIGURA 1.7. MEDICIÓN DEL RUIDO DE FASE ................................................................................ 9

FIGURA 1.8. OSCILADOR HARTLEY .............................................................................................. 10

FIGURA 1. 9. OSCILADOR COLPITTS ............................................................................................ 11

FIGURA 1.10. OSCILADOR DE CRISTAL DE CUARZO ................................................................. 12

FIGURA 1.11. CARACTERÍSTICA CORRIENTE-VOLTAJE DE UN DISPOSITIVO GUNN ............ 13

FIGURA 1.12. OSCILADOR GUNN EN MODO LSA ........................................................................ 13

FIGURA 1.13. OSCILADOR KLYSTRON ......................................................................................... 14

FIGURA 1.14. OSCILADOR CON RESONADOR DIELÉCTRICO (DRO) ....................................... 14

FIGURA 1.15. CIRCUITO EQUIVALENTE DE UN DRO .................................................................. 15

FIGURA 1.16. CIRCUITO DRO ESTABLE ....................................................................................... 15

FIGURA 1.17. DIAGRAMA A BLOQUES DE UN PLL ...................................................................... 16

Capítulo 2:

FIGURA 2.1. DIAGRAMA A BLOQUES DE UN CIRCUITO PLL. 19 FIGURA 2.2. ESQUEMA FUNCIONAL DE UN PLL. 21 FIGURA 2.3. DIAGRAMA A BLOQUES DETECTOR DE FASE LINEAL 22 FIGURA 2.4. CIRCUITO MULTIPLICADOR DE GILBERT 23 FIGURA 2.5. VOLTAJE DE SALIDA CONTRA ERROR DE FASE DEL DETECTOR DE FASE

LINEAL 24 FIGURA 2.6. SÍMBOLO Y TABLA DE VERDAD DE LA COMPUERTA XOR 24 FIGURA 2.7. COMPORTAMIENTO DE UNA COMPUERTA XOR 25 FIGURA 2.8. ERROR DE FASE CONTRA VOLTAJE DEL DETECTOR DE FASE XOR 25 FIGURA 2.9. DETECTOR DE FASE/FRECUENCIA CON BOMBA DE CARGA 26 FIGURA 2.10. DIAGRAMA DE ESTADOS DEL PFD 26 FIGURA 2.11. SEÑALES DE ENTRADA (REFERENCIA Y VCO) CONTRA LA SEÑAL DE SALIDA

(𝑉𝑑). 27 FIGURA 2.12. GRAFICA CARACTERÍSTICA DEL ERROR DE FASE CONTRA EL VALOR DEL

VOLTAJE 𝑉𝑑 PARA UN PFD. 28 FIGURA 2. 13: FILTRO PASIVO ELEMENTAL DE PRIMER ORDEN. 29 FIGURA 2.14: RESPUESTA EN FRECUENCIA DEL FILTRO PASIVO 2 29 FIGURA 2.15: FILTRO PASIVOS DE PRIMER ORDEN 29 FIGURA 2.16: RESPUESTA EN FRECUENCIA DEL FILTRO PASIVO TIPO 2 30 FIGURA 2.17: FILTRO ACTIVO PASA-BAJAS ACTIVO DE PRIMER ORDEN 30 FIGURA 2.18: RESPUESTA EN FRECUENCIA DEL FILTRO ACTIVO PI 31 FIGURA 2.19: FILTRO ACTIVO DE SEGUNDO ORDEN. 31 FIGURA 2.20: RESPUESTA EN FRECUENCIA DEL FILTRO ACTIVO DE SEGUNDO ORDEN 32 FIGURA 2.21: RESPUESTA A LAZO ABIERTO DEL PLL CON FILTRO PASIVO TIPO 1 33 FIGURA 2.22: RESPUESTA EN LAZO CERRADO DEL PLL CON FILTRO PASIVO TIPO 1 34

Page 12: Diseño y realización de osciladores en la banda X

VI

FIGURA 2.23: RESPUESTA A LAZO ABIERTO DEL PLL CON FILTRO PASIVO TIPO 2 34 FIGURA 2.24: RESPUESTA A LAZO CERRADO DEL PLL CON FILTRO PASIVO TIPO 2 35 FIGURA 2.25: RESPUESTA EN LAZO ABIERTO DEL PLL CON FILTRO ACTIVO DE PRIMER

ORDEN 35 FIGURA 2.26: RESPUESTA EN LAZO CERRADO DEL PLL CON FILTRO ACTIVO DE PRIMER

ORDEN. 36 FIGURA 2.27: RESPUESTA A) LAZO ABIERTO Y B) LAZO CERRADO DEL PLL CON FILTRO

ACTIVO DE SEGUNDO ORDEN 37 FIGURA 2.28: RESPUESTA EN EL TIEMPO DEL ERROR DE FASE CON FACTOR DE

AMORTIGUAMIENTO IGUAL A 1 Y A 1/2 38 FIGURA 2.29. FUNCIÓN DE TRANSFERENCIA “FRECUENCIA-VOLTAJE” DEL VCO 40 FIGURA 2.30. A) DIVISOR DE FRECUENCIA POR 2. B) DIVISOR DE FRECUENCIA CON FLIP-

FLOPS EN CASADA 41 FIGURA 2.31. A) DIVISOR DE FRECUENCIA ENTRE 3. B) DIVISOR DE FRECUENCIA ENTRE 5.

42

Capítulo 3:

FIGURA 3.1. VENTANA PRINCIPAL DEL SIMULADOR DE PLL’S 48

FIGURA 3.2. MODELO DE UN PLL EN BLOQUES FUNCIONALES SIMULINK/MATLAB. 48

FIGURA 3.3. RESPUESTA DEL PLL 50

FIGURA 3.4. BLOQUES DIVISORES DE FRECUENCIA BÁSICOS DESARROLLADOS EN EL

SIMULADOR. 51

FIGURA 3.5. DIVISIÓN DE FRECUENCIAS EN EL SIMULADOR DE PLL’S 52

FIGURA 3.6. DETECTORES DE FASE CON BLOQUES SIMULINK-MATLAB 52

FIGURA 3.7. VENTANA PRINCIPAL DEL SIMULADOR DE DETECTORES DE FASE 53

FIGURA 3.8. TIPOS DE FILTRO DE LAZO EN EL SIMULADOR DE PLL’S 54

FIGURA 3.9. FILTROS IMPLEMENTADOS EN SIMULINK MATLAB 54

FIGURA 3.10. SIMULACIÓN DEL FILTRO PASIVO TIPO 2 55

FIGURA 3.11. SIMULACIÓN DEL FILTRO DE LAZO ACTIVO 56

FIGURA 3.12. MODELADO DEL VCO EN SIMULINK 57

FIGURA 3.13. SIMULACIÓN DEL VCO 57

FIGURA 3.14. RESPUESTA DEL PLL INESTABLE. 58

Capítulo 4:

FIGURA 4.1. ESQUEMA DE COMUNICACIÓN SATELITAL EN BANDA X. 62 FIGURA 4.2. DIAGRAMA A BLOQUES DEL PFD DE TRES ESTADOS 64 FIGURA 4.3. VOLTAJE DE SALIDA VS ERROR DE FASE 64 FIGURA 4.4. FILTRO DE LAZO ACTIVO 65 FIGURA 4.5. DIAGRAMA A BLOQUE DEL VCO 65 FIGURA 4.6. CARACTERÍSTICA FRECUENCIA-VOLTAJE DEL VCO 65 FIGURA 4.7. INTEGRACIÓN POR BLOQUES BÁSICOS DEL GENERADOR PLL DE 7.2 GHZ 66 FIGURA 4.8. CARACTERÍSTICAS DE LAS SEÑALES DE REFERENCIA DEL GENERADOR PLL.

OSCILADOR FOX, A) ESPECTRO, B) RUIDO DE FASE. OSCILADOR MMD

COMPONENTS; C) ESPECTRO, D) RUIDO DE FASE 67

Page 13: Diseño y realización de osciladores en la banda X

VII

FIGURA 4.9. CARACTERÍSTICAS DE LAS SEÑALES GENERADAS POR EL PLL “DISCRETO”.

OSCILADOR DE REFERENCIA FOX, A) ESPECTRO, B) RUIDO DE FASE. OSCILADOR DE

REFERENCIA MMD COMPONENTS; C) ESPECTRO, D) RUIDO DE FASE 68 FIGURA 4.10. GENERADOR PLL INTEGRADO 68 FIGURA 4.11. CARACTERÍSTICAS DE LAS SEÑALES GENERADAS POR EL PLL INTEGRADO

A 7.2 GHZ, A) ESPECTRO; B) RUIDO DE FASE. 69 FIGURA 4.12. ESQUEMA MODULADOR-DEMODULADOR DE SEÑALES DE VIDEO EN BANDA

“X”. 71 FIGURA 4.13. VCO COMO MODULADOR DE FM 72 FIGURA 4.14. MODULADOR DE VIDEO EN FM 72 FIGURA 4.15. RESPUESTA EN FRECUENCIA DEL BLOQUE SUMADOR 72 FIGURA 4.16. SEÑALES DE ENTRADA Y SALIDA DEL BLOQUE SUMADOR 73 FIGURA 4.17. MODULADOR EXPERIMENTAL DE FM 73 FIGURA 4.18: A) ESPECTRO DE LA SEÑAL PORTADORA DE 140 MHZ; B) PORTADORA

MODULADA EN FRECUENCIA POR UNA SEÑAL DE VIDEO 74 FIGURA 4.19. ESPECTRO DE MODULACIÓN ALREDEDOR DE 7.2 GHZ, A) CON DESVIACIÓN

DE FRECUENCIA DE FI DE 140 MHZ; B) ESPECTRO DE MODULACIÓN DE FM 74

Lista de tablas

TABLA 1.1. BANDAS DE FRECUENCIA. 4

TABLA 2.1: TIPO DE OSCILACIÓN DEL PLL CON FILTRO ACTIVO 36

TABLA 2. 2: CARACTERÍSTICAS DE UN PLL CON DIFERENTES TIPOS DE FILTROS 39

TABLA 3.1. PARÁMETROS DE DISEÑO PARA EL PLL A 7.2 GHZ 50

TABLA 4.1. COMPARACIÓN DEL GENERADOR EXPERIMENTAL CON GENERADORES

COMERCIALES. 70

Page 14: Diseño y realización de osciladores en la banda X

VIII

Lista de acrónimos

All-DPLL. Lazo de amarre de fase totalmente digital

DPLL. Lazo de amarre de fase digital

DRO. Oscilador con resonador dieléctrico (Dielectric Renonator Oscillator)

FI. Frecuencia intermedia

GM. Margen de ganancia

LF. Filtro de lazo (Loop Filter)

LPLL. Lazo de amarre de fase lineal

PD. Detector de fase (Phase Detector)

PFD. Detector de fase frecuencia (Phase Frequency Detector)

PLL. Lazo de amarre de fase (Phase Lock Loop)

PM. Margen de fase

RF. Radiofrecuencia

UHF. Frecuencia ultra rápida (Ultra High Frequency)

VCO. Oscilador controlado por voltaje (Voltage Controlled Oscillator)

VHF Frecuencia muy rápida (Very High Frequency)

Page 15: Diseño y realización de osciladores en la banda X

1

Introducción

Todos los días son utilizados los medios de comunicación, siendo los más comunes el teléfono, la radio, la televisión e Internet. A través de estos medios, es posible comunicarse casi instantáneamente con personas en diferentes continentes, realizar transacciones comerciales diarias y recibir información sobre diversos desarrollos y eventos notables que ocurren en todo el mundo.

Desde el año 1837, con la invención del telégrafo para envío de información mediante clave morse, los dispositivos de comunicación han evolucionado exponencialmente pasando por el teléfono, y posteriormente por las redes de comunicación. La invención del transistor y el circuito integrado, han hecho posible el desarrollo de circuitos electrónicos pequeños, de baja potencia, bajo peso y alta velocidad que se utilizan en la construcción de sistemas de comunicaciones. Un satélite llamado Telstar I se lanzó en 1962 y se utilizó para retransmitir señales de televisión entre Europa y los Estados Unidos. Los servicios comerciales de comunicaciones por satélite comenzaron en 1965 con el lanzamiento del satélite Early Bird. Hoy en día los satélites forman parte importante en las comunicaciones en el mundo.

Los satélites son capaces de transmitir información en diferentes bandas de frecuencia, en este trabajo se aborda el estudio y el diseño de osciladores de microondas en la banda X. Esta banda, es ampliamente utilizada en aplicaciones científicas, de investigación y gubernamentales. Por las características de esta banda, es posible diseñar esquemas de transmisión en diversas aplicaciones como monitoreo, supervisión, control, etc.

Para tener un buen enlace satelital es necesario contar con portadoras estables, existen varios tipos de osciladores de RF que pueden ser utilizados para este fin. Una de las técnicas que, debido a sus características de estabilidad, es ampliamente utilizada, se basa en osciladores de lazo de amarre en fase (PLL- phase locked loop) y estos esquemas se configuran mediante circuitos osciladores de referencia y controlados por voltaje (VCO - Voltaje controlled oscillator), comparadores de fase/frecuencia (PFD), filtros de lazo y divisores de frecuencias.

Objetivos

Objetivo General

Diseño, simulación y realización de generadores de microondas en la banda X (7-8 GHz) basados en técnicas de lazo de amarre de fase con aplicaciones potenciales en comunicaciones espaciales tierra-satélite-tierra.

Objetivos específicos

Análisis general de osciladores de microondas basados en diferentes técnicas.

Page 16: Diseño y realización de osciladores en la banda X

2

Análisis de arquitecturas de osciladores de microondas basados en lazo de amarre de fase (PLL).

Simulación de un esquema general de generadores de microondas basados en PLL.

Diseño y realización experimental de generadores de microondas en la banda X.

Análisis de arquitecturas PLL basados en esquemas de divisores fraccionales.

Organización de la tesis

El presente trabajo de tesis está organizado de la siguiente forma:

En el capítulo 1 se explica los diferentes tipos de osciladores y su aplicación dentro de las comunicaciones satelitales. También se explican las características básicas de un oscilador para presentar un buen funcionamiento.

En el capítulo 2 se presenta el fundamento teórico para sistemas de lazo de amarre de fase (PLL). Se explica que son y cuáles son los diferentes bloques que lo componen y, finalmente se presenta los intervalos de funcionamiento del PLL.

En capítulo 3 se describe el software desarrollado en Simulink-MatLab para la simulación de osciladores PLL. En el simulador se incluyen diferentes opciones de filtros y de detectores de fase, y algunas condiciones en la que se podría producir una inestabilidad en el sistema.

En el capítulo 4 se presenta un oscilador PLL a 7.2 GHz (banda “X”) desarrollado experimentalmente. También se describe el proceso de modulación utilizando el PLL experimental para poder realizar un enlace satelital.

En conclusiones y trabajo a futuro se presenta las conclusiones generales del trabajo realizado, se mencionan algunos puntos que se podrán realizar al término de esta tesis para ampliar el trabajo y por último se presentan los dos artículos de congreso que se derivaron de la tesis.

Page 17: Diseño y realización de osciladores en la banda X

3

Capítulo 1

Generadores de radiofrecuencia en sistemas de

comunicaciones electrónicas

Page 18: Diseño y realización de osciladores en la banda X

4

1.1 Introducción

En la actualidad los satélites artificiales forman parte importante de las

comunicaciones en el mundo, gracias a ellos, recibimos señales de televisión, de

radio o teléfono, obtenemos información del clima, de nuestro medio ambiente y

de fenómenos espaciales. Cada satélite transmite información en diferentes

bandas de frecuencia dependiendo del tipo de datos.

Las bandas satelitales, tabla 1.1, abarcan desde VHF, UHF, microondas y ondas

milimétricas (300 MHz-300GHz). La banda X, para misiones espaciales cercanas

a la Tierra utiliza las bandas de 7.190-7.235 GHz y 8.450-8.500 GHz [1-2], estas

bandas permite la transmisión de telemetría, control, datos de misión e imágenes

asignados a servicios científicos o militares de comunicaciones tierra-satélite-

tierra.

Banda de frecuencia Frecuencias

VHF 30 a 300 MHz

UHF 300 a 3 GHz

L 1.7 a 2.2 GHz

S 2 a 3 GHz

C 3.5 a 6.4 GHz

X 7.1 a 8.5 GHz

Ku 10.7 a 14.5 GHz

Ka 26.5 a 40 GHz

Tabla 1.1. Bandas de frecuencia.

Los generadores de radiofrecuencia (RF) son componentes críticos para realizar

comunicación tierra-satélite-tierra. Un generador de RF debe proporcionar alta

potencia, estabilidad de alta frecuencia, alta pureza espectral y ruido de fase bajo;

estos dispositivos son fundamentales para proporcionar portadoras de RF

estables. Existe una gran variedad de tecnologías para realizar generadores de

RF, incluyendo osciladores Gunn, resonadores dieléctricos (DRO) y sintetizadores

Ytrium-Garnet (YiGs), lazo de amarre de fase (PLL), entre otras. Los generadores

tipo PLL son una alternativa para generar señales de RF de alto rendimiento y

bajo costo.

1.2 Esquema básico de un oscilador

Un oscilador es un circuito capaz de convertir corriente continua en una corriente

alterna que varía de forma periódica en el tiempo; las forma de onda de las

oscilaciones pueden ser sinusoidales o pulsos.

Un oscilador básico es un circuito amplificador con una realimentación positiva,

como se muestra en la figura 1.1, donde 𝑥𝑖 y 𝑥𝑜 son las señales de entrada y

Page 19: Diseño y realización de osciladores en la banda X

5

salida, mientras que 𝑥𝑟 y 𝑥𝑒 son la señal de realimentación y la señal de error,

respectivamente. 𝐴 es la ganancia del amplificador, β es el factor de

realimentación y 𝐴β es la ganancia de lazo. La ganancia total del circuito

realimentado es

𝑥𝑜

𝑥𝑖=

𝐴

1 − 𝐴β

(1.1)

Figura 1.1. Diagrama a bloques de un oscilador básico

El funcionamiento del diagrama mostrado en la figura 1.1 es el siguiente:

Un voltaje 𝑥𝑖 en la entrada provoca una salida del amplificador 𝑥𝑜 = 𝐴𝑥𝑖, y después

de la etapa de retroalimentación un voltaje 𝑥𝑜 = β(𝐴𝑥𝑖). Si los circuitos del

amplificador básico y la red de realimentación proporcionan una 𝐴β de magnitud y

fase correctas, 𝑥𝑜 se puede igualar a 𝑥𝑖. Posteriormente si 𝑥𝑖 es eliminado, el

circuito continuará operando puesto que el voltaje de realimentación es suficiente

para controlar el amplificador y la realimentación, de esta manera se obtiene un

voltaje de entrada apropiado para mantener la operación del lazo.

En ausencia de la señal de entrada 𝑥𝑖, que es la situación de los osciladores, la

condición para que exista una salida 𝑥𝑜 es 𝐴β = 1.

Si el circuito presenta |Aβ| > 1, la señal de entrada es prescindible puesto que el

ruido, siempre presente, contiene componentes a todas las frecuencias. La

componente de ruido a la frecuencia en la que se cumple esta condición, conocida

como condición de arranque, se amplifica indefinidamente hasta la saturación del

amplificador o hasta que un circuito auxiliar consiga que para esa frecuencia Aβ =

1. A partir de entonces la amplitud de la oscilación se mantiene. La condición para

que un circuito oscile se conoce como criterio de Barkhausen [3].

1.3 Características de un oscilador.

Las características más importantes en los osciladores son los factores de

estabilidad (margen de ganancia y fase), ruido de fase, temperatura y pureza

espectral.

Page 20: Diseño y realización de osciladores en la banda X

6

1.3.1 Criterio de estabilidad: margen de ganancia y fase

Un oscilador es estable si al alcanzar un valor en su ángulo de fase de 180° la

ganancia de lazo en decibeles es negativa [3].

Margen de ganancia (GM): Se define como la ganancia en decibeles a la

frecuencia en que el ángulo de fase es de 180°, figura 1.2.

Margen de fase (PM): Se define como el ángulo de 180° menos ángulo al cual la

ganancia del lazo es unitaria (0 dB); por lo tanto si el margen de fase es mayor a

cero, el oscilador se considera estable, figura 1.2.

Figura 1.2. Margen de ganancia y fase

1.3.2 Pureza espectral

La pureza espectral de una fuente de RF está relacionada con la dispersión

espectral (ancho espectral) de la señal alrededor de su frecuencia central. La

señal presentará una alta pureza en la medida que el ancho espectral sea mínimo.

El ruido de fase da una medida cuantitativa de la pureza espectral y se caracteriza

por el nivel de potencia de la señal a una distancia (offset) de 10 KHz del valor

central (dBc/Hz). Un nivel de ruido de fase menor o igual a -100dBc/Hz @ 10KHz

de desviación indica que la señal presenta alta pureza espectral. Adicionalmente,

la pureza espectral también se ve afectada y disminuida por la presencia de

componentes espurios y de armónicos en la señal generada [4].

Los componentes espurios pueden aparecer debido a que un generador de RF

mezcla y divide señales y estos procesos producen señales armónicas y sub-

Page 21: Diseño y realización de osciladores en la banda X

7

armónicas de la frecuencia fundamental, figura 1.3. La presencia de señales

extrañas a la fundamental y sus armónicos son consideradas señales espurias. El

contenido de armónicos en la señal de salida viene especificado en decibeles

relativos a la potencia de la frecuencia central 𝑓𝑐 (dBc).

Figura 1.3. Componentes armónicos de un oscilador.

1.3.3 Ruido de fase

La salida de un oscilador ideal produce una oscilación periódica perfecta, figura

1.4a. Sin embargo, en la realidad el ruido proveniente de los dispositivos y

materiales del oscilador introducen variaciones de fase que modifican la frecuencia

y el periodo, lo cual se manifiesta en un desfasamiento aleatorio (𝜙𝑛(𝑡)), figura

1.4b; a este efecto se le conoce como ruido de fase [4].

Figura 1.4. Ruido de fase.

El ruido de fase se observa en el espectro de la señal generada. En un oscilador

ideal solo se podría observar un impulso a la frecuencia generada, figura 1.5a. En

Page 22: Diseño y realización de osciladores en la banda X

8

un oscilador real, el ruido de fase se traduce en la generación de otras frecuencias

alrededor de la frecuencia central 𝑓𝑐, como se ilustra en la figura 1.5b. La

dispersión espectral se mide como ruido de fase de la señal.

A a) b) b)

Figura 1.5. Espectro en frecuencia del oscilador a) ideal, y b) con ruido de fase

Para medir el ruido de fase, se debe especificar una distancia espectral (offset,

∆𝑓𝑐), respecto del valor central 𝑓𝑐, figura 1.6. La potencia de la señal generada

corresponde al pico del espectro. El ruido de fase se mide en decibeles con

respecto al nivel de la portadora, (dBc/Hz).

Figura 1.6. Medición del ruido de fase

A la región cercana, hasta 10 KHz de desviación de la frecuencia central, se le

conoce como “close-in”; a la región de desviación superior a los 10 KHz se le

conoce como “far-out” [3]. En un sistema de comunicaciones, el ruido de fase es

un parámetro crítico, ya que un nivel elevado de ruido, limita la capacidad del

sistema para recuperar señales débiles. Por ejemplo, en un oscilador para

comunicaciones móviles, que puede utilizar frecuencias de 869-894 MHz

(sistemas IS-54) el ruido de fase estándar es de -115 dBc/Hz a 600 kHz de

desviación de la frecuencia central [4], en la figura 1.7 se muestra una medición

típica de ruido de fase a una frecuencia central de 885.7 MHz [5].

Page 23: Diseño y realización de osciladores en la banda X

9

Figura 1.7. Medición del ruido de fase

1.3.4 Variaciones por temperatura

La estabilidad a largo plazo de un oscilador es una función de la edad de los

componentes, los cambios de temperatura y la humedad del ambiente.

Dependiendo del tipo de oscilador, se asocia generalmente a los dispositivos

activos una circuitería externa, la cual incluye elementos pasivos que son

afectados por cambios de temperatura. Los parámetros de resistencia,

inductancia, capacitancia, conductividad, entre otros, varían y afectan la

estabilidad de frecuencia

La relación entre el cambio de frecuencia y el cambio de temperatura se expresa

en Hz por grado Celsius (Hz/ °C).

1.4 Circuitos osciladores básicos

Los osciladores Hartley y Colpitts son dos esquemas clásicos para generación de

señales de RF. Este tipo de osciladores requieren de un circuito resonante, que en

conjunto con elementos activos tales como transistores bipolares (BJT, por las

siglas en inglés de Bipolar Juntion Transistor) o de efecto de campo (FET, por las

siglas en inglés de Field Effect Transistor), aseguran la generación de señales

continuas y estables [3].

Page 24: Diseño y realización de osciladores en la banda X

10

1.4.1 Osciladores Hartley

El oscilador Hartley emplea un circuito tanque constituido por dos bobinas y un capacitor, figura 1.8, la frecuencia de resonancia está determinada por

𝑓𝑜 =1

2𝜋√𝐿𝑒𝑞𝐶1

𝐿𝑒𝑞 = 𝐿1 + 𝐿2

(1.2)

Figura 1.8. Oscilador Hartley

En el arranque inicial, el ruido proporciona la energía necesaria para cargar el

capacitor 𝐶1. Una vez que se ha cargado parcialmente empieza la acción del oscilador. Una porción del voltaje del circuito tanque oscilante pasa a través de la

bobina 𝐿2 y se retroalimenta nuevamente a la base del transistor 𝑄1 donde será amplificada. La señal amplificada aparece en el colector 180° fuera de fase con

respecto a la señal de la base. Por acción de la bobina 𝐿1 se realiza un desplazamiento adicional de 180°; por lo tanto, la señal que se retroalimenta

nuevamente a la base de 𝑄1 se amplifica y se desplaza en fase a 360°. De esta forma el circuito puede mantener las oscilaciones sin señal de entrada externa [3, 6-7].

1.4.2 Oscilador Colpitts

El oscilador Colpitts se basa en un circuito resonante constituido por dos capacitores y una bobina en la red de realimentación entre colector y emisor de un transistor bipolar, figura 1.9. La frecuencia de resonancia se determina por:

𝑓𝑜 =1

2𝜋√𝐶𝑒𝑞𝐿1

𝐶𝑒𝑞 =𝐶1𝐶2

𝐶1 + 𝐶2

(1.3)

Page 25: Diseño y realización de osciladores en la banda X

11

Figura 1. 9. Oscilador Colpitts

La operación de un oscilador Colpitts es muy similar a la de Hartley excepto que

un divisor capacitivo se utiliza en lugar de las bobinas [3, 6-7].

1.5 Oscilador de cristal de cuarzo

El cristal de cuarzo es un material que por sus propiedades físicas produce un

efecto piezoeléctrico.

El efecto piezoeléctrico es una propiedad del material que se presenta al aplicar

un esfuerzo mecánico a través de algunas de sus caras y en consecuencia

desarrolla una diferencia de potencial en las caras opuestas. Inversamente, si un

voltaje es aplicado a través de algunas de las caras del cristal provoca una

distorsión mecánica en la forma del cristal [2].

Cuando se aplica un voltaje alterno a un cristal, se establecen vibraciones

mecánicas; estas vibraciones tienen una frecuencia resonante natural que

depende del cristal. Es posible representar la acción del cristal por medio de un

circuito resonante eléctrico equivalente como se muestra en la figura 1.10. La

frecuencia de resonancia del cristal dependerá de su tipo de corte y tamaño.

Conjuntando otros elementos (transistores o amplificadores operacionales) con el

cristal de cuarzo es posible obtener osciladores con gran estabilidad y pocas

variaciones causadas por parámetros térmicos y mecánicos. Gracias a sus

propiedades, en sistemas de comunicación electrónica, se utilizan osciladores de

cristal para la generación de portadoras de RF o como generadores de señales de

referencia [8].

Page 26: Diseño y realización de osciladores en la banda X

12

Figura 1.10. Oscilador de cristal de cuarzo

1.6 Osciladores de microondas

La generación de microondas puede ser dividida en dos categorías: dispositivos

de estado sólido y dispositivos basados en tubos de vacío.

1.6.1 Osciladores con diodo Gunn

Un diodo semiconductor es un dispositivo formado por la unión de dos materiales

semiconductores tipo n y tipo p, formando una unión p-n. Cuando se tiene

impurificación moderada en la zona p y fuerte en la tipo n, la zona de agotamiento

se extiende fundamentalmente en la zona p. En el caso que ambas regiones

semiconductoras estén muy fuertemente impurificadas, la zona de agotamiento es

muy estrecha. Conforme aumenta el voltaje de polarización directo, la corriente

aumenta con mucha rapidez desde cero hasta el valor de pico (Vp) en que se

produce la ruptura, una vez que el voltaje supera Vp, la corriente del diodo

disminuye, dando lugar a la región de resistencia negativa, figura 1.11 [9].

Generalmente los diodos que funcionan de esta forma se fabrican con GaAs

(Arseniuro de galio).

La principal utilidad del diodo con efecto túnel está en la zona de resistencia

negativa. Esta se puede utilizar en conjunción con un circuito sintonizado para

producir un oscilador de alta frecuencia, la amplitud de las oscilaciones resultantes

estará limitada por los límites de la región de resistencia negativa como se

evidencia en la figura. El diodo Gunn es un diodo con efecto túnel muy

especializado para su utilización en osciladores de alta frecuencia, en particular en

el intervalo de las microondas.

Page 27: Diseño y realización de osciladores en la banda X

13

Figura 1.11. Característica corriente-voltaje de un dispositivo Gunn

La frecuencia de resonancia en un oscilador con diodo Gunn puede ajustarse

externamente ya sea por medios mecánicos o eléctricos. En el caso del circuito de

sintonización electrónica, el control puede lograrse utilizando una guía de ondas o

un diodo varactor entre otros. Aquí el diodo se monta dentro de la cavidad de tal

manera que cancela la resistencia de pérdida del resonador, produciendo

oscilaciones. Por otro lado, en el caso de la sintonización mecánica, el tamaño de

la cavidad o el campo magnético se varía mecánicamente mediante, por ejemplo,

un tornillo de ajuste, para ajustar la frecuencia de resonancia [10-11].

Un ejemplo de oscilador Gunn con tecnología de guía de onda se muestra en la figura 1.12, en esta configuración la distancia entre el diodo Gunn y el elemento de cortocircuito deslizante debe ser λ/4. El transformador λ/4 permite ajustar la impedancia de la cavidad a la salida. El diodo se fija en la parte inferior de la cavidad para conectarlo a tierra. En la parte superior del diodo, el ánodo está conectado a un poste. El tornillo conductor se usa para igualar la impedancia en la guía de onda. [10-11].

Figura 1.12. Oscilador Gunn en modo LSA

Page 28: Diseño y realización de osciladores en la banda X

14

1.6.2 Oscilador Klystron

La construcción de un oscilador Klystron Reflex se muestra en la figura 1.13. En

su forma básica, el tubo consta de los siguientes elementos:

Cañón de electrones

Línea coaxial o cavidad del ánodo

Electrodo reflector, que funciona a un potencial moderadamente negativo.

Figura 1.13. Oscilador Klystron

El cañón de electrones emite el haz de electrones, que pasa a través del espacio

en la cavidad del ánodo. Estos electrones viajan hacia el electrodo reflector,

debido a que el reflector tiene un potencial negativo, los electrones vuelvan al

ánodo, donde pasan a través de la cavidad por segunda vez. Al variar el voltaje

aplicado en el electrodo reflector, se puede variar la fase del haz para producir el

modo de oscilación deseado y controlar la frecuencia de oscilación [11-13].

1.6.3 Osciladores con resonadores dieléctricos

Un oscilador con resonador dieléctrico (DRO) consiste en acoplar el dieléctrico a

una línea microstrip, figura 1.14. El microstrip es un tipo de línea de transmisión

eléctrica que puede ser fabricada utilizando placa de circuito impreso (PCB). Se

utiliza para transmitir señales de microondas.

Figura 1.14. Oscilador con resonador dieléctrico (DRO)

Page 29: Diseño y realización de osciladores en la banda X

15

El resonador dieléctrico DR se puede modelar eléctricamente como una red LRC

como se muestra en la figura 1.15. Un resonador dieléctrico se puede incorporar

en un oscilador como un elemento de circuito en una red determinante de

frecuencia (DRO estable).

Figura 1.15. Circuito equivalente de un DRO

Para realizar un oscilador DRO estable, en el circuito de determinación de

frecuencia, el resonador puede usarse como un elemento de realimentación en

serie. Las configuraciones de retroalimentación en serie se basan en la capacidad

del dispositivo activo para producir una resistencia negativa en al menos una de

las tres terminales, en el rango de frecuencia de interés. La figura 1.16 muestra

una configuración común [14].

Figura 1.16. Circuito DRO estable

1.6.4 Osciladores de lazo de amarre de fase (PLL)

En la actualidad, uno de los métodos más utilizados para la generación de RF y

microondas se basa en la técnica de lazo de amarre de fase (PLL) [15]. Un lazo de

amarre de fase es un esquema retroalimentado que iguala las fases y frecuencias

de dos señales; una de referencia y otra de un oscilador controlado por voltaje

(VCO).

La estabilidad de frecuencia de un PLL depende del amarre de fase o frecuencia y

está determinada por la calidad de la señal de referencia, la cual proviene

generalmente de un oscilador de cristal de alta estabilidad. En un sistema PLL, los

parámetros como ruido de fase, estabilidad y pureza espectral dependen

principalmente de la señal de referencia.

Page 30: Diseño y realización de osciladores en la banda X

16

El oscilador PLL está compuesto por un detector de fase, un filtro pasa-bajas, un

oscilador controlado por voltaje y un divisor de frecuencia conectado como se

muestra en la figura 1.17.

Figura 1.17. Diagrama a bloques de un PLL

La señal de referencia y del VCO dividida ingresan al detector de fase/frecuencia para ser comparadas. En salida del detector de fase se obtienen dos componentes de frecuencia, la suma y diferencia de las señales de entrada. El filtro pasa-bajas se encarga de eliminar la componente de alta frecuencia y, si la frecuencia de ambas señales es la misma, el filtro solo entregara un voltaje de corriente directa proporcional al desfase entre ellas. El voltaje proveniente del filtro controlará y fijará la frecuencia de operación del VCO. La señal de salida del VCO será dividida para igualar a la frecuencia de referencia e ingresar al detector de fase.

El estudio de esquemas PLL para la generación de microondas y su aplicación

potencial en comunicaciones espaciales, es el tema principal que se aborda en

esta tesis.

1.7 Conclusión

El oscilador es un circuito que genera una señal periódica, sin tener ninguna señal

en la entrada. Los sistemas de comunicaciones terrestres y espaciales requieren

de señales de alta frecuencia como portadoras para la transmisión y recepción de

datos. Existe una gran variedad de osciladores con características diferentes,

dependiendo de las aplicaciones y características de los enlaces de radio donde

se utilizan.

La calidad del sistema de comunicaciones dependerá de las características que

tenga el oscilador, como pureza espectral, ruido de fase, control de temperatura

entre otros.

Debido a sus propiedades los osciladores de cristal de cuarzo suelen ser utilizados

como señales de referencia. En la actualidad los generadores de alta frecuencia

comúnmente utilizados se basan en lazos de amarre de fase (PLL) debido a su

bajo costo, alta pureza espectral y bajo ruido de fase.

Page 31: Diseño y realización de osciladores en la banda X

17

1.8 Referencias

[1] NASA, “NASA’s Mission Operations and Communications Services”, October 1,

2014

[2] ITU, “Nomenclature of the frequency and wavelengh bands used in

telecommunications”, ITU-R V.431-8, Agosto 2015

[3] Boylestad, Robet L y Nashelsky, Louis “Electrónica: teoría de circuitos y

dispositivos electrónicos”. Décima edición. Ed. PEARSON 2009.

[4] Behzad Razavi, “RF Microelectronics”, Second edition. Prentice Hall PTR. USA,

2011.

[5] Crystek Corporation, “CVCO55CL-0830-09702”, microwave division of Crystek

Corporation

[6] Syifaul Fuada, Hakkun Elmunsyah, “Design and Fabrication of LC-Oscillator

Tool Kits Based Op-Amp for Engineering Education Purpose”, Indonesian Journal

of Electrical Engineering and Computer Science Vol. 1, No. 1, January 2016

[7] Federico Miyara, “Osciladores senoidales”, Universidad Nacional de Rosario

Facultad de Ciencias Exactas, Ingeniería y Agrimensura.

[8] Robert J. Matthys, “Crystal Oscillator Circuits”, Krieger Publishing Company

Malabar, Florida, Revised Edition 1992.

[9] Esteban Sanchis, Juan B. Ejea, “El diodo”, Universidad de Valencia, septiembre

2008

[10] Robert van Zyl, Willem Perold, “The Gunn-diode: Fundamentals and

Fabrication”, Department of Electrical and Electronic Engineering, University of

Stellenbosch.

[11] Collin, Robert E. “Foundations for microwave engineering”. Second edition.

McGraw-Hill, Inc. 924p.

[12] Whitaker, Jerry C., “Power Vacuum Tubes Handbook”, 2nd Edition, Ed. Jerry

C. Whitaker, 2000

[13] David M. Pozar, “Microwave engineering”. Second edition. John Wiley & Sons

Inc, 1998.

[14] A.P.S. Khanna and Ed Gane, "A Fast Locking Transmission Injection Locked

X-band DRO," IEEE MTT-S Int. Microwave Symposium Digest, pp. 601-606, New

York, 1988.

[15] Lou Frenzel, “What Do Clocks, Carriers, Local Oscillators, and FM Have in

Common?” Electronic Design.

Page 32: Diseño y realización de osciladores en la banda X

18

Capítulo 2

Teoría básica de osciladores basados en lazo de

amarre de fase (PLL)

Page 33: Diseño y realización de osciladores en la banda X

19

2.1 Descripción general

Un lazo de amarre de fase (Phase Locked Loop, PLL, por sus siglas en inglés) es

un sistema de control que permite la generación de señales de radiofrecuencia

(RF) de alta estabilidad mediante la comparación en fase/frecuencia de una señal

de referencia y una señal de un oscilador controlado por voltaje (Voltage

Controlled Oscillator, VCO, por sus siglas en inglés). La comparación genera una

señal de error la cual es procesada por un filtro de lazo. La salida del filtro controla

el punto de funcionamiento del VCO y asegura la generación de una señal de alta

estabilidad y ruido de fase mínimo. El esquema general de un PLL se ilustra en la

figura 2.1. Un PLL se integra por 5 bloques básicos.

Señal de referencia: Es un circuito oscilador de relativa baja frecuencia con

estabilidad y pureza espectral alta. Generalmente son osciladores basados

en cristales resonantes que presentan gran estabilidad en un intervalo

amplio de temperaturas.

Detector de fase-frecuencia (PFD): Es un circuito de tipo digital que permite

la comparación de fase/frecuencia y genera una señal de error lineal en un

intervalo de variación de fase.

Filtro de lazo (PL): Es un filtro electrónico de tipo pasa-bajas, se encarga de

procesar la señal de error de fase generada por el circuito PFD. El filtro

elimina las componentes de alta frecuencia a la salida del PFD y entrega un

voltaje de control de baja frecuencia que fija y estabiliza el punto de

funcionamiento del VCO.

Oscilador controlado por voltaje (VCO): Circuito generador de señales de

radiofrecuencia mediante un voltaje de corriente directa. La función

frecuencia-voltaje permite fijar el punto de operación del VCO. La señal

obtenida en el filtro de lazo de un circuito PLL permite estabilizar la

frecuencia generada.

Divisor de frecuencia: Circuito que divide la frecuencia de salida del VCO y

la aproxima a la de la fuente de referencia.

Figura 2.1. Diagrama a bloques de un circuito PLL.

Page 34: Diseño y realización de osciladores en la banda X

20

Los generadores de RF basados en PLL presentan frecuencia muy estable, cuyo

valor depende del índice de división de la señal del VCO y del valor de la

frecuencia de referencia.

Los circuitos PLL se clasifican de acuerdo a arquitectura y forma de

funcionamiento en los siguientes tipos:

PLL lineal (LPLL): Se constituyen mediante circuitos analógicos.

PLL digital (DPLL): Únicamente el comparador de fase es digital.

PLL totalmente digital (All-DPLL): La totalidad de los bloques son digitales.

PLL programable (Software PLL): Se configuran mediante un programa de

computadora.

2.2 Modelo matemático básico de un PLL

El modelo matemático básico de un PLL, utiliza un dispositivo multiplicador como

detector de fase; esta arquitectura se conoce como PLL lineal [1-2]. En este caso,

la señal de referencia y la señal del oscilador controlado por voltaje (VCO) se

definen por:

Vr(t) = Ar sin(ωrt + θr) ωr = 2πfr (2.1)

Vo(t) = Ao cos(ωot + θo) ω0 = 2πfo (2.2)

La comparación de fases se realiza mediante un circuito multiplicador, el producto

entre las señales de referencia y el VCO es

Vd(t) = Kd ArAo sin(ωr t + θr) cos(ω0 t + θo) (2.3)

Donde Kd (rad/volt), representa la ganancia del detector de fase. La ecuación (2.3)

puede expresarse como:

Vd(t) =Kd ArAo

2[sin((ωr + ω0 )t + θr + θo) + sin((ωr − ω0 )t + θr − θo)] (2.4)

La ecuación (2.4) contiene dos componentes de frecuencia (ωr + ω0 ) y (ωr −

ω0 ), así como la suma y diferencia de las fases relativas. A las diferencia de

frecuencia y fase, se les conoce como errores de frecuencia y fase,

respectivamente. Cuando el PLL está fuera de amarre; es decir, las frecuencias de

entrada al detector de fase son diferentes (ωr ≠ ω0 ), los errores de fase y

frecuencia se filtran y dependiendo de la respuesta de éste, se genera un voltaje

de control que sintoniza la frecuencia del VCO hasta alcanzar un valor que reduce

Page 35: Diseño y realización de osciladores en la banda X

21

los errores a cero. Cuando el PLL está en amarre (ωr = ω0 ), el término de suma

de frecuencias es eliminado por el filtro pasa-bajas y la salida del comparador de

fase (multiplicador), puede ser aproximada por

Vd(t) =Kd ArAo

2sin(θr − θo)

(2.5)

La diferencia de fases θr − θo se define como error estático de fase (θe); si el

desfasamiento entre señales es pequeño, entonces sin(θe) ≈ θe y la ecuación

(2.5) se expresa como

Vd(t) = 0.5KdArAoθe (2.6)

El valor máximo que puede alcanzar θe dependerá del tipo de detector de fase

utilizado en el diseño del PLL. En el caso de un multiplicador, el error de fase es

lineal cuando −𝜋/2 < 𝜃𝑒 < 𝜋/2.

La estructura funcional del PLL, en términos de sus funciones de transferencia en

el dominio de Laplace, se muestra en la figura 2.2. La respuesta del PLL depende

esencialmente de las fases de las señales de referencia y VCO dividida.

Figura 2.2. Esquema funcional de un PLL.

La ganancia del PLL en lazo abierto, representada por 𝐺(𝑠), está dada por:

𝐺(𝑠) =𝜃𝑜

𝜃𝑒=

𝐾𝑑𝐹(𝑠)𝐾𝑜

𝑁𝑠 (2.7)

Del modelo mostrado en la figura 2.2, es posible obtener la función de trasferencia

del sistema en lazo cerrado

Page 36: Diseño y realización de osciladores en la banda X

22

𝐻(𝑠) =𝜃𝑜

𝜃𝑟=

𝐺(𝑠)

1 + 𝐺(𝑠) (2.8)

La función de transferencia en la ecuación (2.8) determina la respuesta de 𝜃𝑜 en

función de la entrada 𝜃𝑟; y en este caso, la función de error se define como

𝐻𝑒(𝑠) =𝜃𝑒

𝜃𝑟=

𝑠

𝑠 +𝐾𝑑𝐹(𝑠)𝐾𝑜

𝑁

(2.9)

Si θe es grande puede exceder la región lineal del detector de fase y, por lo tanto,

el PLL puede salir del estado de amarre de fase. Cuando la respuesta de 𝐻𝑒 es

cero, el PLL se estabiliza. La relación entre 𝐻𝑒(𝑠) y 𝐻(𝑠) es

𝐻𝑒(𝑠) = 1 − 𝐻(𝑠) (2.10)

2.3 Detector de fase para arquitecturas PLL

El comparador o detector de fase es el bloque crítico en un esquema PLL. Este

dispositivo compara las fases de las señales de referencia y VCO para entregar un

voltaje Vd, proporcional a la diferencia de fase (θr − θo). Los diferentes tipos de

comparadores de fase se describen en los párrafos siguientes.

2.3.1 Detector de fase lineal

El comparador de fase lineal es un dispositivo cuya función es multiplicar las dos

señales de entrada, ecuaciones (2.1) y (2.2), para generar un voltaje proporcional

a la diferencia de fase entre las mismas.

Figura 2.3. Diagrama a bloques detector de fase lineal

2.3.1.1 Multiplicador de Gilbert

Una configuración común para el detector de fase lineal es el circuito multiplicador

de Gilbert [1], como se muestra en la figura 2.4. Las señales analógicas Vr(𝑡) y

Vo(𝑡) se multiplican y producen una salida

Page 37: Diseño y realización de osciladores en la banda X

23

Vd =Kd ArAo

2sin(θe) (2.11)

Al diseñar un PLL con este tipo de detector de fase es necesario tener en cuenta

que el intervalo de variación lineal del error de fase está restringido entre −𝜋/2 <

𝜃𝑒 < 𝜋/2, como se observa en la figura 2.5.

El multiplicador de Gilbert consiste en dos etapas de amplificación diferencial

formado por pares de transistores acoplados a emisor (Q1 con Q3 y Q2 con Q4)

cuyas salidas están conectadas (corrientes sumadas) con fases opuestas. En el

emisor las uniones de estas etapas de amplificador son alimentadas por los

colectores de un tercer par diferencial (Q5 con Q6). Las corrientes de salida de Q2

y Q6 se convierten en corrientes de emisor para los amplificadores diferenciales

[3].

El circuito utiliza la configuración de amplificador diferencial en los transistores

para lograr la multiplicación en el dominio del tiempo y, por lo tanto, el

desplazamiento de frecuencia.

Figura 2.4. Circuito multiplicador de Gilbert

Page 38: Diseño y realización de osciladores en la banda X

24

Figura 2.5. Voltaje de salida contra error de fase del detector de fase lineal

2.3.2 Detector de fase/frecuencia digital

El detector de fase digital, utiliza principalmente circuitos lógicos. El más básico es

una compuerta OR-exclusiva (XOR) [5]. El detector digital más utilizado en

esquemas PLL es el detector de fase/frecuencia (PFD) de tres estados, el cual se

configura con circuitos secuenciales Flip-Flop tipo D y un circuito “bomba de

carga” [5].

2.3.3 Detector de fase con compuerta XOR

En el detector de fase con compuerta XOR, las señales de entrada son digitales

(pulsos binarios). La figura 2.6 muestra la tabla de verdad de la compuerta XOR.

Cuando las dos señales de entrada Vr(𝑡) y Vo(𝑡) están en estado bajo (0) o alto

(1), la señal de salida estará en estado bajo (0). Cuando las señales de entrada

están en estados opuestos, la salida estará en estado alto (1).

Figura 2.6. Símbolo y tabla de verdad de la compuerta XOR

Al utilizar compuertas XOR como detectores de fase, es importante tener en

cuenta que el desfase entre las señales de entrada (referencia y VCO) solo será

detectado correctamente si ambas señales presentan un ciclo de trabajo de 50%.

Cuando las dos señales de entrada están en fase el voltaje de salida es cero. En

Page 39: Diseño y realización de osciladores en la banda X

25

la figura 2.7 se ilustra la salida de la compuerta mostrando pulsos de duración

variable en proporción al desfasamiento [4-5].

Figura 2.7. Comportamiento de una compuerta XOR

La salida del detector de fase será promediada por un filtro pasa bajas, el cual

entrega un voltaje 𝑉𝑐 con forma de onda triangular, que corresponde a la función

característica del detector de fase por compuerta XOR, figura 2.8. El voltaje

resultante es lineal y corresponde a una variación de π radianes en un intervalo de

0 a π [5].

Figura 2.8. Error de fase contra voltaje del detector de fase XOR

2.3.4 Detector de fase/frecuencia de tres estados.

El detector de fase/frecuencia de tres estados es uno de los detectores más

utilizados en el diseño de generadores de RF y microondas. Este tipo de

comparador difiere sustancialmente de los comparadores tipo lineal y XOR, debido

a que la señal de salida no solo depende de la diferencia de fase, si no también de

la diferencia de frecuencia entre las señales de entrada [4].

Page 40: Diseño y realización de osciladores en la banda X

26

La arquitectura de un PFD de tres estados se muestra en la figura 2.9. El Flip-Flop

1 genera una salida “UP”, la cual dependerá de la presencia de la señal de

referencia; el Flip-Flop 2, genera la señal “DOWN” (DN) que dependerá de la

presencia de la señal del VCO. La compuerta AND, reinicia a cero las salidas de

los Flip-Flops cuando los estados de UP y DOWN son altos simultáneamente.

El PFD funciona como una máquina de tres estados, los cuales dependen de las

relaciones de adelanto/atraso entre los pulsos 𝑉𝑜 y 𝑉𝑟. Los estados de las salidas UP y DN se determinan de acuerdo con el diagrama de estados mostrados en la figura 2.10.

Figura 2.9. Detector de fase/frecuencia con bomba de carga

Cuando la entrada 𝑉𝑜 se adelanta a la entrada 𝑉𝑟, la salida 𝐷𝑁 pasa a un estado alto y se mantiene hasta el momento en que aparece la entrada 𝑉𝑟. Como los

estados altos en 𝑈𝑃 y 𝐷𝑁 no están permitidos, ambas salidas pasan a un estado bajo por acción del reinicio de los Flip-Flop. Cuando la señal en 𝑉𝑟 se adelanta a la señal en 𝑉𝑜, la salida 𝑈𝑃 genera pulsos de duración proporcional a la diferencia de fase entre las entradas.

Figura 2.10. Diagrama de estados del PFD

En la figura 2.9, el circuito encerrado por líneas punteadas constituye la bomba de

carga, la cual generara la señal de salida Vd. Cuando la señal 𝑈𝑃 se encuentra en

un alto, el transistor P conduce, esto hace la señal de salida Vd igual al voltaje de

Page 41: Diseño y realización de osciladores en la banda X

27

la fuente Vcc. Cuando la señal de salida 𝐷𝑁 se encuentra en un alto, el transistor

Q conducirá, por lo que la señal de salida Vd adquiere el potencial de tierra. Si

ninguna de las dos señales se encuentra en estado alto, los dos transistores

estarán cortados y la señal de salida flotará, es decir, se encontrara en el estado

de alta impedancia. En razón de lo anterior, la salida Vd representa una señal de

tres estados [4, 6]. Los estados descritos, de acuerdo con las transiciones de las

señales 𝑉𝑟, y 𝑉𝑜, se ilustran en la figura 2.11

Figura 2.11. Señales de entrada (referencia y VCO) contra la señal de salida (𝑉𝑑).

El detector de fase de tres estados permite un intervalo lineal de detección de fase

de ±2π radianes. La ganancia del detector de fase en este caso es

Kd =Vcc

4π (2.12)

La figura 2.12 muestra la señal de salida del PFD después de un filtraje pasa-bajas. El filtro genera el voltaje de salida 𝑉𝑐, el cual variará entre un mínimo (𝑉𝑐𝑚𝑖𝑛)

y un máximo (𝑉𝑐𝑚𝑎𝑥), con un error de fase entre ±2π radianes. El voltaje medio 𝑉𝑐0, corresponderá a un error de fase cero.

Page 42: Diseño y realización de osciladores en la banda X

28

Figura 2.12. Grafica característica del error de fase contra el valor del voltaje 𝑉𝑑 para un PFD.

2.4 Filtro de Lazo en un PLL

La señal de salida del comparador de fase presenta componentes espectrales de

baja y alta frecuencia; el primero es esencialmente un voltaje de corriente directa

(Vcd), el cual es proporcional al error de fase θe, adicionalmente, existen

componentes de alta frecuencia como resultado de la mezcla de frecuencias de

las señales de referencia y VCO. El filtro del lazo es un filtro pasa-bajas y tiene

dos funciones importantes: primera, se encarga de eliminar todas las

componentes de alta frecuencia y sólo permite el paso del voltaje de control para

fijar y estabilizar el punto de operación del VCO; segunda, es el bloque más

importante en la determinación de las características dinámicas del lazo, intervalo

de captura, respuesta en frecuencia y respuesta transitoria [7].

El filtro puede ser pasivo o activo y en la mayoría de los casos de primer o

segundo orden. Filtros de mayor orden introducen corrimientos de fase por el

número de polos adicionales, lo que influye en la estabilidad del PLL. El ancho de

banda del filtro pasa bajas determina el funcionamiento del PLL. La frecuencia de

corte práctica del filtro pasa-bajas varía entre 1% y 10% de la frecuencia de

referencia del sistema.

2.4.1 Tipos de filtros de lazo utilizados en sistemas PLL

Los filtros de lazo se dividen principalmente en dos categorías:

Filtros de lazo pasivos

Filtros de lazo activos

Page 43: Diseño y realización de osciladores en la banda X

29

2.4.2 Filtro elemental de primer orden (tipo 1)

Un filtro pasivo pasa-bajas se muestra en la figura 2.13

Figura 2.13: Filtro pasivo elemental de primer orden.

La ganancia del filtro a frecuencia cero es unitaria y su ancho de banda

corresponde a la frecuencia 𝜔1. La función de transferencia de este filtro es:

𝐹(𝑠) =𝜔1

𝑠 + 𝜔1, 𝜔1 =

1

𝑅1𝐶1 (2.13)

Figura 2.14: Respuesta en frecuencia del filtro pasivo tipo 1

2.4.3 Filtro pasivo de primer orden con factor de ganancia (tipo 2)

En la figura 2.15 se muestra un filtro pasivo de primer orden tipo 2. Este filtro se

utiliza ampliamente en sistemas PLL.

Figura 2.15: Filtro pasivos de primer orden

Page 44: Diseño y realización de osciladores en la banda X

30

Este filtro se considera como compensador de avance-retardo (lead-lag) debido a

que contiene un polo que produce un retardo de fase y un cero que proporciona un

adelanto [2]. La función de transferencia del filtro es

𝐹(𝑠) = 𝐾𝑓 𝑠 + 𝜔2

𝑠 + 𝜔1 (2.14)

Donde:

𝐾𝑓 =𝑅2

𝑅1 + 𝑅2

𝜔1 =1

(𝑅1 + 𝑅2)𝐶1, 𝜔2 =

1

𝑅2𝐶1

(2.15a)

(2.16b)

La respuesta en frecuencia del filtro pasivo se muestra en la figura 2.16. En bajas

frecuencias la ganancia es 1 y en frecuencias superiores a 𝜔2, la ganancia es 𝐾𝑓.

Figura 2.16: Respuesta en frecuencia del filtro pasivo tipo 2

2.4.4 Filtro activo de primer orden (tipo 3)

Un filtro activo pasa-bajas de primer orden se muestra en la figura 2.17. La

utilización de un filtro activo contribuye con un término de ganancia por la acción

del amplificador operacional.

Figura 2.17: Filtro activo pasa-bajas activo de primer orden

El filtro activo de la figura 2.17 es conocido como PI (proporcional + integrador).

Este filtro presenta un polo en 𝑠 = 0 y por lo tanto se comporta como un

integrador. Su ganancia es infinita en bajas frecuencias. La función de

transferencia de este filtro está dada por

Page 45: Diseño y realización de osciladores en la banda X

31

𝐹(𝑠) =𝑅2

𝑅1(

𝑅2𝐶𝑠 + 1

𝑅2𝐶𝑠) =

𝑅2

𝑅1(

1 +1

𝜔1𝑠

1𝜔1

𝑠) = 𝐾𝑓

𝑠 + 𝜔1

𝑠

𝜔1 =1

𝑅2𝐶; 𝐾𝑓 =

𝑅2

𝑅1

(2.17)

En frecuencias mayores a 𝜔1, la ganancia del filtro es 𝐾𝑓 (figura 2.18). La

combinación de un detector de fase/frecuencia de tres estados y un filtro activo

pasa-bajas PI, presentará un intervalo de captura infinito, lo que asegura un

funcionamiento estable del PLL [2].

Figura 2.18: Respuesta en frecuencia del filtro activo PI

2.4.5 Filtro de lazo activo de segundo orden (tipo 4)

El filtro activo de primer orden tiene una ganancia 𝐾𝑓 en 𝜔2 y se mantiene así

indefinidamente; sin embargo, en la práctica es recomendable limitar la respuesta

del filtro agregando un segundo polo [1, 9]. En la figura 2.19 se muestra un filtro

activo de segundo orden, el cual incluye un polo adicional en comparación con el

filtro anterior.

Figura 2.19: Filtro activo de segundo orden.

Page 46: Diseño y realización de osciladores en la banda X

32

La función de transferencia de este filtro está dada por

𝐹(𝑠) = 𝐾𝑓

𝑠 + 𝜔1

𝑠(𝑠/𝜔2 + 1)

𝜔1 =1

𝑅2𝐶1; 𝜔2 =

4

𝑅1𝐶2; 𝐾𝑓 =

𝑅2

𝑅1

(2.18)

La respuesta de este filtro se muestra en la figura 2.20.

Figura 2.20: Respuesta en frecuencia del filtro activo de segundo orden

2.4.6 Respuesta de un PLL con diferentes tipos de filtro de lazo

El tiempo para alcanzar respuesta estable del PLL dependerá del filtro de lazo

utilizado.

2.4.7 PLL con filtro pasivo tipo 1

Considerando las ecuaciones (2.7) y (2.13), cuando se utiliza un filtro pasivo tipo

1, la función de transferencia en lazo abierto del PLL, se muestra en la figura 2.21

y está dada por

𝐺(𝑠) = 𝐾𝑑𝐹(𝑠)𝐾𝑜

𝑁𝑠=

𝐾

𝑁

𝜔1

𝑠2 + 𝜔1𝑠

𝐾 = 𝐾𝑑𝐾𝑜

(2.19)

Page 47: Diseño y realización de osciladores en la banda X

33

Figura 2.21: Respuesta a lazo abierto del PLL con filtro pasivo tipo 1

La función de trasferencia a lazo cerrado se obtiene de las ecuaciones (2.8) y

(2.19). Debido a que el polinomio del denominador es de orden 2, el sistema se

conoce como un PLL de segundo orden.

𝐻(𝑠) =𝜃𝑜

𝜃𝑟=

𝐾𝑁 𝜔1

𝑠2 + 𝜔1𝑠 +𝐾𝜔1

𝑁

(2.20)

En teoría de control es común escribir el denominador de la función de

transferencia en su forma normalizada

𝑠2 + 2𝜁𝜔𝑛𝑠 + 𝜔𝑛2 (2.21)

Donde 𝜔𝑛 representa la frecuencia natural del sistema y 𝜁 el factor de

amortiguamiento. De las ecuaciones (2.20) y (2.21) es posible obtener estos

valores para el filtro pasivo de primer orden

𝜔𝑛 = √𝐾𝜔1

𝑁, 𝜁 =

𝜔1

2𝜔𝑛

(2.22)

El tiempo necesario para estabilizar un PLL depende sustancialmente de la

frecuencia natural y el factor de amortiguamiento del sistema. Si el factor de

amortiguamiento es igual a 1, se tendrá un sistema críticamente amortiguado. Si ζ

es menor que la unidad, la respuesta transitoria se hará oscilatoria, cuanto menor

es el factor de amortiguamiento más grande es el sobretiro; si ζ es mayor que la

unidad la respuesta en frecuencia será lenta [2,8]. En la mayoría de los sistemas

prácticos, la meta es una función de transferencia con 𝜁 = 1/√2, ya que con este

valor es posible obtener la mejor correspondencia entre el ancho de banda del

PLL y su frecuencia natural.

Page 48: Diseño y realización de osciladores en la banda X

34

En la figura 2.22 se muestra la respuesta de lazo cerrado 𝐻(𝑗𝜔) del PLL con filtro

pasivo tipo 1. Al diseñar el sistema con este filtro es necesario tomar en cuenta

que 𝜔1 debe de ser menor a 𝐾/𝑁.

Figura 2.22: Respuesta en lazo cerrado del PLL con filtro pasivo tipo 1

2.4.8 PLL con filtro pasivo tipo 2

Combinando las ecuaciones (2.7) y (2.14), es posible obtener la función a lazo

abierto de un PLL con filtro de lazo pasivo tipo 2.

𝐺(𝑠) =𝐾

𝑁

𝑠 + 𝜔2

𝑠2 + 𝜔1𝑠

𝐾 = 𝐾𝑑𝐾𝑓𝐾𝑜

(2.23)

Donde 𝐾 representa la ganancia de lazo abierto del sistema expresada en rad/s, la

respuesta en frecuencia se muestra en la figura 2.23. Para frecuencias bajas el

valor de |𝐺(𝑗𝜔)| es mayor que la unidad; cuando la frecuencia alcanza los valores

de 𝜔1 y 𝜔2 la respuesta decae más rápido; a frecuencias mayores a 𝐾/𝑁 el valor

de |𝐺(𝑗𝜔)| es menor que 1.

Figura 2.23: Respuesta a lazo abierto del PLL con filtro pasivo tipo 2

Page 49: Diseño y realización de osciladores en la banda X

35

Para obtener la función de transferencia del PLL en lazo cerrado con este tipo de

filtro se combinan las ecuaciones (2.8) y (2.23).

𝐻(𝑠) =𝜃𝑜

𝜃𝑟=

𝐾𝑠𝑁 +

𝐾𝜔2

𝑁

𝑠2 + 𝑠 (𝜔1 +𝐾𝑁) +

𝐾𝜔2

𝑁

(2.24)

La frecuencia natural del sistema y el factor de amortiguamiento estarán

representados por:

𝜔𝑛 = √𝐾𝜔2

𝑁, 𝜁 =

1

2𝜔𝑛(𝜔1 +

𝑁

𝐾)

(2. 25)

Figura 2.24: Respuesta a lazo cerrado del PLL con filtro pasivo tipo 2

2.4.9 PLL con filtro activo de primer orden (tipo 3)

Al diseñar un PLL con filtro activo, la ganancia de lazo abierto está dada por:

𝐺(𝑠) =𝐾𝑑𝐾𝑓𝐾𝑜

𝑁

𝑠 + 𝜔1

𝑠2=

𝐾

𝑁

𝑠 + 𝜔1

𝑠2

𝐾 = 𝐾𝑑𝐾𝑓𝐾𝑜

(2.26)

Figura 2.25: Respuesta en lazo abierto del PLL con filtro activo de primer orden

Page 50: Diseño y realización de osciladores en la banda X

36

Combinando las ecuaciones (2.8) y (2.26), la función de transferencia en lazo

cerrado del PLL es

𝐻(𝑠) =𝜃𝑜

𝜃𝑟=

𝐾𝑁

(𝑠 + 𝜔1)

𝑠2 +𝐾𝑁 𝑠 +

𝐾𝑁 𝜔1

(2.27)

De la función de transferencia es posible obtener el factor de amortiguamiento y la

frecuencia natural del sistema:

𝜔𝑛 = √𝐾𝜔1

𝑁, 𝜁 =

1

2√

𝐾

𝑁𝜔1

(2. 28)

Con las ecuaciones anteriores es posible observar que los parámetros 𝜔𝑛 y 𝜁

dependen de 𝜔1 y de 𝐾. La tabla 2.1 permite seleccionar la relación ω1𝑁/𝐾 del

filtro activo con objeto de conocer la respuesta transitoria del PLL [1].

Tipo de respuesta

ω1𝑁/𝐾 Amortiguamiento

< 0.25 Sub

= 0.25 Críticamente

> 0.25 Sobre

Tabla 2.1: Tipo de oscilación del PLL con filtro activo

Para que la respuesta transitoria del PLL presente un factor de amortiguamiento

igual a 1/√2, es necesario que ω1𝑁/𝐾 sea igual a 0.5. En la figura 2.26 se

muestra la respuesta 𝐻(𝑗𝜔) donde se observa un sobretiro mínimo el cual será

más pequeño conforme ω1 sea menor que 𝐾/𝑁.

Figura 2.26: Respuesta en lazo cerrado del PLL con filtro activo de primer orden.

Page 51: Diseño y realización de osciladores en la banda X

37

2.4.10 PLL con filtro activo de segundo orden (tipo 4)

La función de transferencia de lazo cerrado del PLL con un filtro activo de segundo

orden es

𝐻(𝑠) =𝜃𝑜

𝜃𝑟=

𝐾𝑠 + 𝐾𝜔1

𝑠3

𝜔2+ 𝑠2 + 𝐾𝑠 + 𝐾𝜔1

(2.29)

En las figura 2.27 (a) y (b) se muestran la respuesta en frecuencia de la ganancia

de lazo abierto |𝐺(𝑗𝜔)| y en lazo cerrado |𝐻(𝑗𝜔)| del PLL, respectivamente. Es

recomendable que 𝜔1 𝑦 𝜔2 sean equidistantes de 𝐾/N.

a)

b)

Figura 2.27: Respuesta a) lazo abierto y b) lazo cerrado del PLL con filtro activo de segundo orden

2.4.11 Respuesta del error de fase en el tiempo

Como se mencionó anteriormente, cuando la respuesta del error de fase se hace

cero, el PLL alcanza el estado estable.

Page 52: Diseño y realización de osciladores en la banda X

38

El error de fase depende del factor amortiguamiento y la frecuencia natural del

sistema: si el sistema es sub-amortiguado, la respuesta del error oscilara hasta

llegar a cero indicando estabilidad en el sistema; si la respuesta es críticamente

amortiguada, el error de fase muestra un sobretiro mínimo.

El comportamiento del error de fase de un PLL en el dominio del tiempo para cada

uno de los filtros descritos en las secciones precedentes, se muestra en la figura

2.28 para un factor de amortiguamiento de 1/√2 en todos los casos. En la figura,

es posible observar que con un filtro de tipo 1, el error de fase llegara a cero más

rápido que con los otros filtros. Los filtros tipo 2 y 3 alcanzan un error de fase cero

en tiempos similares. Por último el filtro tipo 4 muestra un comportamiento

comparable al filtro 3. En la práctica, un filtro activo tipo 3 no logrará suprimir por

completo los armónicos de la señal proveniente del PD, por ello, la adición de un

segundo polo (tipo 4), es necesaria para un filtraje óptimo [1]. La tabla 2.2 resume

los parámetros de un PLL utilizando para cada uno de los filtros considerados.

Figura 2.28: Respuesta en el tiempo del error de fase con factor de

amortiguamiento igual a 1 y a 1/√2

Page 53: Diseño y realización de osciladores en la banda X

39

Filtro Factor de

amortiguamiento Frecuencia

natural Ventajas

Tipo 1 𝜁 =

𝜔1

2𝜔𝑛

𝜔𝑛 = √

𝐾𝜔1

𝑁

Tiempo de estabilización

mínimo

Tipo 2 𝜁 =1

2𝜔𝑛(𝜔1 +

𝑁

𝐾) 𝜔𝑛 = √

𝐾𝜔2

𝑁

Proporciona ganancia la

sistema

Tipo 3 𝜁 =1

2√

𝐾

𝑁𝜔1 𝜔𝑛 = √

𝐾𝜔1

𝑁

Amplio intervalo de captura

Tipo 4 𝜁 =1

2√

𝐾

𝑁𝜔1 𝜔𝑛 = √

𝐾𝜔1

𝑁

Supresión de armónicos y

amplio intervalo de captura

Tabla 2. 2: Características de un PLL con diferentes tipos de filtros

2.5 Oscilador Controlado por Voltaje

Los osciladores controlados por voltaje (VCO), son dispositivos sintonizables en

sus frecuencias de salida mediante la aplicación de un voltaje de control de

corriente directa. La salida de un VCO es una señal de radiofrecuencia que varía

linealmente en un intervalo determinado, en función del voltaje de sintonía [10]. Un

ejemplo de la relación entre la frecuencia generada y voltaje aplicado se muestra

en la figura 2.29. La frecuencia generada por el VCO en la región lineal de la curva

puede ser aproximada por:

𝑓𝑜 = 𝑓𝑖 + ∆𝑓𝑜 = 𝑓𝑖 + KoVc (2.30)

Dónde:

fi = Frecuencia de oscilación libre cuando Vc = 0

Ko= Ganancia del VCO

Vc = Voltaje de control

∆𝑓𝑜 = Variación lineal de frecuencia

La frecuencia de oscilación libre es la frecuencia mínima que genera el VCO

cuando el voltaje aplicado es cero. La variación lineal de frecuencia determina el

intervalo lineal entre las frecuencias mínima y máxima, en proporción al intervalo

de voltaje aplicado. Ko es la ganancia del VCO está dada en Hz/V e indica la

pendiente de la relación frecuencia-voltaje de control.

Page 54: Diseño y realización de osciladores en la banda X

40

La ecuación (2.30) corresponde a la frecuencia de salida del VCO; sin embargo,

también es necesario definir la fase de la señal generada, la que está dada por

𝜔 = 𝑑𝜃/𝑑𝑡, entonces:

𝜃𝑜 = 2π ∫ ∆fo(𝑡)𝑑𝑡 = 2πKo ∫ Vc(𝑡) 𝑑𝑡 (2.31)

En el diseño de un PLL, los factores importantes del VCO son la frecuencia central

𝑓𝑜 y su ganancia 𝐾𝑜 [2].

Figura 2.29. Función de transferencia “Frecuencia-voltaje” del VCO

2.6 Divisores de frecuencia

Un divisor de frecuencia en un esquema PLL se utiliza para escalar la frecuencia

generada por el VCO y hacerla comparable a la frecuencia de la señal de

referencia. Los divisores de frecuencia pueden ser enteros o fraccionales. Si la

señal de entrada presenta una frecuencia fo, el divisor entregará en la salida una

señal con frecuencia fo/N, donde N es el factor de división [11].

2.6.1 Divisor de frecuencia entero

Un divisor de frecuencia entero se realiza de manera práctica con circuitos lógicos

biestables o Flip-Flops, en configuración de contadores binarios. Las señales cuya

frecuencia se ha de dividir, se aplican como señales de reloj a los circuitos

biestables. Los divisores digitales más utilizados son Flip-Flops tipo D. Un ejemplo

básico de un divisor entre 2 se muestra en la figura 2.30 [11]. En esta

configuración la salida negada (QN) se conecta a la entrada D y la señal con

Page 55: Diseño y realización de osciladores en la banda X

41

frecuencia dividida 𝑓𝑜/2 se obtiene en la salida Q. Una relación de división mayor a

2 requiere de la conexión de Flip-Flops en cascada, figura 2.30b.

a)

b)

Figura 2.30. a) Divisor de frecuencia por 2. b) Divisor de frecuencia con Flip-Flops en casada

La división por un factor impar se consigue utilizando una combinación de Flip-

Flops tipo J-K y D. La división tiene lugar cuando la salida Q del ultimo Flip-Flop J-

K se realimenta de la las entradas K de los precedentes. Un ejemplo de divisor de

frecuencia por un factor 3, se ilustra en la figura 2.31a. Durante los dos primeros

ciclos de reloj la salida QN del Flip-Flop 2 se encontrara en un nivel alto (1), en el

tercer ciclo de reloj la salida cambiara a un estado bajo (0), por lo tanto, se tendrá

una división asimétrica con un ciclo de trabajo de 66%. Para hacer simétrico el

pulso, es necesario agregar un tercer flip-flop tipo “D”. Por último, se añade una

compuerta AND entre las salidas de ambas etapas para compensar el retraso

provocado por los Flip-Flop JK durante la división. Un divisor por 5 se ilustra en la

figura 2.31b.

Page 56: Diseño y realización de osciladores en la banda X

42

a)

b)

Figura 2.31. a) Divisor de frecuencia entre 3. b) Divisor de frecuencia entre 5.

2.6.2 Divisores fraccionales de frecuencia

Con un divisor de frecuencia fijo y N entero, únicamente es posible generar

frecuencias en múltiplos enteros de la frecuencia de referencia fo = Nfr. Si se

busca generar frecuencias que no se apeguen a esta condición debe recurrirse a

la configuración de divisores fraccionales. Un divisor fraccional permite generar

frecuencias que no son múltiplos de la referencia.

Existen dos tipos principales de divisores de fraccionales, los de divisor de doble

módulo y los de divisor controlado por un modulador Sigma-Delta.

El estudio de divisores fraccionales y su uso en generadores de frecuencia

basados en PLL está fuera del alcance de esta tesis.

2.7 Intervalo de captura o amarre de un PLL

Los intervalos que determinan la respuesta dinámica del PLL son [1, 2, 12]:

Intervalo de captura

Intervalo de seguimiento

Page 57: Diseño y realización de osciladores en la banda X

43

2.7.1 Intervalo de captura

Intervalo de captura (lock range) indica la máxima separación entre la frecuencia

de entrada y la frecuencia de libre corrimiento del VCO para que se produzca el

amarre.

El intervalo de captura es más pequeño que el de seguimiento, se determina a

partir del tipo de detector de fase y el tipo de filtro, sin embargo, puede ser

aproximado por su factor de amortiguamiento y frecuencia natural [2]

PD lineal a ∆𝜔𝐿 ≈ 2𝜁𝜔𝑛

(2. 32) PD con XOR a ∆𝜔𝐿 ≈ 𝜋𝜁𝜔𝑛

PFD de 3 estados ∆𝜔𝐿 ≈ 2𝜋𝜁𝜔𝑛

2.7.2 Intervalo de enganche o seguimiento

El Intervalo de seguimiento (pull-out) es la máxima variación o salto que puede

tener la frecuencia de referencia para mantener la estabilidad del PLL, si la

referencia varía fuera de este intervalo el PLL perderá el amarre [2].

El cálculo del intervalo de enganche depende del tipo de detector de fase y de los

valores determinados del filtro de lazo, en la ecuación (2.33) se calcula este

intervalo.

PD lineal a ∆𝜔𝑝 =4

𝜋√

2𝜁𝜔𝑛𝐾𝑜𝐾𝑑

𝑁− 𝜔𝑛

2𝐹(0)

(2.33)

PD con XOR a ∆𝜔𝑝 =𝜋

2√

2𝜁𝜔𝑛𝐾𝑜𝐾𝑑

𝑁− 𝜔𝑛

2𝐹(0)

Cuando un detector PFD es utilizado el intervalo de enganche dependerá

únicamente de las frecuencias que pueda alcanzar el VCO, esto debido a que el

PFD también es capaz de comparar frecuencias.

2.8 Diseño de generadores de radiofrecuencias basados en PLL.

Los principios generales de funcionamiento y la arquitectura básica de un circuito

PLL que se han descrito en este capítulo, serán utilizados para el desarrollo de un

simulador básico de esquemas generadores de RF (3 kHz – 300 GHz) y

microondas (300 MHz – 300 GHz). El simulador de PLLs servirá como herramienta

de conceptualización y cálculo de generadores de microondas y se utilizará para el

Page 58: Diseño y realización de osciladores en la banda X

44

diseño, realización y caracterización de un generador de microondas en la banda

X (7.0-10 GHz GHz). La banda X con frecuencias entre 7.190-7.235 GHz está

asignada a la operación de enlaces de microondas en comunicaciones satelitales

y espaciales con interés científico y de estudio de la tierra para diversos fines.

La simulación, diseño y realización de un generador de microondas de 7.2 GHz

será descrito en los capítulos subsecuentes de esta tesis.

2.9 Conclusiones

Los osciladores basados en lazos de amarre de fase son utilizados en sistemas de

radiofrecuencia como generadores de señales portadoras debido a que presentan

alta pureza espectral y alta estabilidad.

Un generador de alta frecuencia mediante lazo de amarre de fase se compone de

6 bloques en su esquema básico, señal de referencia, detector de fase/frecuencia,

filtro de lazo, amplificador, un VCO y un divisor de frecuencia. El detector de fase y

el filtro de lazo son elementos críticos en la arquitectura de un PLL, ya que de ellos

dependerá el tipo de respuesta que tenga el sistema.

El detector comúnmente utilizado debido a sus características es el PFD de 3

estados e incluye un circuito bomba de carga. El PFD de tres estados es un

dispositivo que puede comparar tanto la fase como la frecuencia entre las señales

de referencia y del VCO. La comparación genera una señal de error, la cual se

convierte en voltaje de control al pasar por el filtro de lazo. El voltaje de control se

utiliza para la sintonía de la frecuencia central del VCO. El filtro de lazo utilizado en

un PLL, es generalmente un filtro activo de segundo orden, configurado con

amplificadores operacionales.

La combinación del PFD con bomba de carga y filtro activo de segundo orden

garantiza que el PLL tenga un intervalo de captura infinito, garantizando la

estabilidad del sistema.

La teoría descrita en este capítulo servirá de base para la realización de un

simulador capaz de calcular los parámetros de diseño necesarios para la

fabricación de osciladores PLL.

2.10 Referencias

[1] Dan H. Wolaver, “Phase Locked Loop Circuit Design”, Prentice-Hall, 1991.

[2] Guan Chyun Hsieh, “Phase Locked Loop Techniques-A Survey”, IEE

transactions on industrial electronic, vol 43 No. 6, December 1996.

[3] B. Gilbert, “A precise four-quadrant multiplier with subnanosecond response”,

IEEE J. SolidState Circuits, vol.SC-3, no.4, pp.365-373, Dec. 1968.

Page 59: Diseño y realización de osciladores en la banda X

45

[4] Best, Roland E, “Phase-lock loop Theory”. Ed. McGraw-Hill, 1995.

[5] Khairun Nisa’ Minhad, “Investigating Phase Detectors”, IEEE microwave

magazine, 13 November 2015.

[6] Jeffrey S. Pattavina, “Charge-Pump Phase-Locked Loop”, IEEE transactions on

communications, vol. Com-28, no. 11, 1990.

[7] Urlich L. Rohde, “Synthesizer Design for –Microwave Applications”, Chairman,

Synergy Microwave Corporation.

[8] Behzad Razavi, “Design of Monolithic Phase-Locked Loops and Clock

Recovery Circuits-A Tutorial”, IEEE-Explore 1996

[9] Texas Instrument, “TLC2932 Phase Lockes Loop Building Block whit Analog

Voltage Control Oscillator and Phase Frequency Detector”.

[10] R. Pindado, “Phase Locked-Loop (PLL): Fundamento y aplicaciones”,

Universidad Politécnica de Catalunya

[11] Mohit Arora, “Clock Dividers Made Easy”, Design Flow and Reuse (CR&D) ST

Microelectronics Ltd Plot No. 2 & 3.

[12] Saleh R. Al-Araji, “Digital Phase Lock Loops”, Springer, Cap 1, 2006.

Page 60: Diseño y realización de osciladores en la banda X

46

Capítulo 3

Simulador de un esquema básico de lazo de

amarre de fase (PLL) y su aplicación al diseño

de generadores de microondas

Page 61: Diseño y realización de osciladores en la banda X

47

3.1 Introducción

En el contexto de esta tesis, se ha propuesto el diseño y desarrollo de osciladores

para sistemas de comunicaciones inalámbricas espaciales en la banda X (7.190-

7.235 GHz), asignados a servicios científicos y de exploración espacial en enlaces

de comunicaciones satélite-tierra. En este trabajo se diseña y realiza un generador

a una frecuencia de 7.2 GHz que servirá potencialmente de base para

transmisiones de banda ancha como video e imágenes desde plataformas

satelitales.

En este capítulo se describe el desarrollo de un simulador para el diseño de

generadores de radiofrecuencia basados en PLL’s. El simulador ha sido realizado

en Simulik-Matlab y tiene como propósito ser una herramienta computacional que

facilite el diseño de osciladores de microondas, los cuales son elementos

esenciales para sistemas de radiocomunicaciones. El software desarrollado

permite el cálculo de la respuesta de un esquema PLL en función de los bloques

que lo integran: comparador de fase/frecuencia (PFD), selección de filtros de lazo

pasivos y activos, divisor de frecuencia para números enteros y VCO.

3.2 Parámetros de diseño de un generador de microondas en

esquema PLL.

Para diseñar un esquema PLL es necesario primero proponer o determinar las

frecuencias de referencia y de operación (frecuencia a generar). Para realizar un

generador de 7.2 GHz se propuso utilizar un VCO en circuito integrado comercial

que puede sintonizarse mediante un voltaje de control en un intervalo de

frecuencias entre 6.2 y 7.7 GHz. El VCO se caracterizó experimentalmente para

determinar su función frecuencia-voltaje de control. Estos datos permiten definir el

voltaje de sintonía que el PLL deberá entregar, controlar y estabilizar para fijar la

frecuencia de generación. Otro parámetro importante para el diseño del PLL es el

voltaje de alimentación, el cual influye en la operación de todos los bloques del

PLL.

3.3. Desarrollo del simulador en plataforma Simulink-Matlab

La carátula principal del simulador desarrollado se muestra en la figura 3.1. El simulador está dividido en pestañas de acceso a menús descriptivos de los bloques del PLL así como sus respuestas de funcionamiento. El modelo funcional compacto del PLL realizado con bloques funcionales Simulink se ilustra en la figura 3.2. Cada bloque funcional, comparador de fase, divisor de frecuencia, filtro de lazo y VCO, se configuran mediante bloques básicos existentes en las librerías de Simulink y se describen de manera detallada en las secciones subsecuentes en este capítulo.

Page 62: Diseño y realización de osciladores en la banda X

48

La ventana principal del simulador, figura3.1, es la interface principal donde se ingresan los datos para el cálculo del PLL. El proceso se inicia con los datos de frecuencia-voltaje del VCO (botón: “Ingresar tabla del VCO”), los cuales pueden provenir de hojas de datos o de la medición experimental. Una tabla en “Excel” contiene los valores medidos de frecuencia y voltaje de control de un VCO real.

Figura 3.1. Ventana principal del simulador de PLLs

Figura 3.2. Modelo de un PLL en bloques funcionales Simulink/Matlab.

En el simulador es posible seleccionar entre dos opciones de detector de fase: multiplicador o detector de fase/frecuencia de tres estados (PFD). Hay igualmente opciones de filtro de lazo: pasivos de primer y segundo orden o activo de segundo orden.

Los esquemas de los detectores de fase o de los filtros se despliegan si se pulsa el botón “Imagen”.

Page 63: Diseño y realización de osciladores en la banda X

49

El simulador desarrollado cuenta con modelos de divisores de frecuencia en factores de 2, 3, 4, 5 y 8, lo que permite realizar diferentes combinaciones y obtener divisiones enteras por un factor de hasta 120.

El proceso de simulación se realiza siguiendo los pasos que se describen a continuación:

1) Propuesta de la frecuencia de referencia. 2) Frecuencia de operación a generar. 3) Lectura de los datos frecuencia-voltaje del VCO a utilizar. 4) Selección del detector de fase, multiplicador o detector de fase/frecuencia

de tres estados. 5) Selección de un filtro de lazo predeterminado. Para el cálculo de la

respuesta del PLL, el simulador utiliza un factor de amortiguamiento predeterminado de 0.707; sin embargo, se puede proporcionar valores de los componentes del filtro o especificar un valor diferente del factor de amortiguamiento.

6) Inicio de la simulación, pulsar “Calcular”. 7) El simulador calcula el factor de división e indica el valor. 8) El simulador opera únicamente con factores de división enteros. Si la

relación entre la frecuencia a generar y la frecuencia de referencia no es un número entero, el simulador indica que el divisor no es realizable y propone una frecuencia de referencia así como un esquema divisor utilizando una combinación de los divisores definidos en el modelo.

9) Una vez que se define una frecuencia de referencia y un divisor realizable, el simulador calcula la respuesta del PLL.

3.4 Respuesta del PLL

En el proceso de simulación se calculan las ganancias de los bloques del PLL, la respuesta del filtro de lazo y la respuesta total del sistema. Los resultados del cálculo se despliegan en la pestaña “Respuesta del PLL”, la cual se ilustra en la figura 3.3. En esta ventana se muestra la respuesta transitoria del error de fase y el tiempo que transcurre para llegar a cero, lo que conlleva a la estabilización del sistema. La respuesta depende esencialmente del filtro de lazo cuya salida proporciona el voltaje de control que fija y estabiliza el punto de operación del VCO para generar una frecuencia muy estable. La estabilidad del esquema PLL se cuantifica mediante el error de fase cero, así como por su respuesta en frecuencia en los diagramas de Bode de magnitud y fase. Un margen de fase amplio y menor a 180°, cuando la magnitud se hace unitaria, indica la estabilidad del PLL.

Como resultado del proceso de simulación, se determinan los parámetros

necesarios para el diseño de un generador real. Para el generador de microondas

con frecuencia de 7.2 GHz propuesto, el simulador ha permitido determinar que se

puede configurar con los elementos y valores enlistados en la tabla 3.1.

Page 64: Diseño y realización de osciladores en la banda X

50

Figura 3.3. Respuesta del PLL

Parámetros de diseño

Frecuencia de operación 7.2 GHz

Frecuencia de referencia 75 MHz

Razón de división 96

Tipo de detector de fase PFD

Tipo de filtro Filtro activo de segundo

orden

Tabla 3.1. Parámetros de diseño para el PLL a 7.2 GHz

3.5 Ventanas secundarias del simulador

El simulador de PLLs incluye sub-menús de cada bloque funcional y que se han

desarrollado a partir de bloques básicos de funciones electrónicas disponibles en

las librerías de Simulink. De esta forma se han configurado los subsistemas de:

detector de fase, divisor de frecuencia, filtro de lazo y VCO, los cuales se describe

en las secciones subsecuentes.

Page 65: Diseño y realización de osciladores en la banda X

51

3.6 Divisor de frecuencia

De acuerdo a lo descrito en el capítulo anterior, un divisor de frecuencia se realiza

con base en los modelos de circuitos lógicos y biestables disponibles en las

librerías de Simulink-Matlab. Como se ha descrito en el capítulo precedente, la

división por factores pares se realiza mediante contadores binarios a base de Flip-

Flops tipo D, como se muestra en la Figura 3.4a. Para realizar divisiones entre 3 y 5

es necesario utilizar una combinación de Flip-Flops “JK” y “D”, como se ilustra en

la figura 3.4.

El simulador cuenta con bloques divisores por factores de 2, 3, 4, 5 y 8. La

combinación de los diferentes bloques permite realizar divisiones de frecuencia

por factores entre 2 y 120, a reserva de excluir factores primos diferentes a 1, 2, 3

y 5.

a)

b)

Figura 3.4. Bloques divisores de frecuencia básicos desarrollados en el simulador.

La ventana de modelado de divisores de frecuencia se muestra en la figura 3.5. En

este sub-menú se muestra la combinación de bloques divisores básicos (2, 3, 4, 5

y 8) para obtener la división correspondiente al valor de la frecuencia de

referencia. En esta figura se modela las división para el generador PLL de 7.2 GHz

cuando se utiliza una referencia de 75 MHz. El factor de división es 96 y el divisor

se configura con tres divisores en cascada con factores de 8, 4 y 3.

Page 66: Diseño y realización de osciladores en la banda X

52

r

Figura 3.5. División de frecuencias en el simulador de PLL’s

3.7 Detector de fase

El modelo de detector de fase, que funciona como multiplicador o como PFD se

ilustra en la figura 3.6. El simulador permite escoger entre dos tipos de detector de

fase: multiplicador o un detector de fase-frecuencia de tres estados (PFD). En el

modelo Simulink se incluyen los dos comparadores de fase y se seleccionará

alguno de ellos, dependiendo del elegido en el proceso de simulación. En el

modelo, el multiplicador únicamente recibe las dos señales de entrada y genera el

producto. El PFD ha sido configurado a base de Flip-Flops tipo D y una compuerta

NAND; la bomba de carga se configura mediante interruptores ideales; se incluye

una fuente de voltaje, etc.

Figura 3.6. Detectores de fase con bloques Simulink-MatLab

Page 67: Diseño y realización de osciladores en la banda X

53

En el simulador de PLL’s, la pestaña PD, permite visualizar el modelo del detector

de fase seleccionado, la forma de onda de la referencia, la forma de onda dividida

del VCO, la onda de salida del detector y la función voltaje-error de fase.

En la figura 3.7 se muestran la ventana de simulación de los detectores de fase.

En esta ventana se despliega el esquema funcional del comparador de fase, así

los datos calculados de operación del bloque: los valores de las frecuencias en

sus entradas, la ganancia del detector y el error de fase. Se despliegan igualmente

las formas de onda de las entradas y la salida de la bomba de carga. Esta última

señal será filtrada para proporcionar el voltaje de control del VCO.

En la ventana mostrada en la figura 3.7, se tienen los datos asociados a la

simulación del generador de microondas en la banda X a 7.2 GHz.

De acuerdo con el principio de funcionamiento del detector de fase de tres

estados, en el modelo de un PFD, los flip-flop’s tipo D tienen como entradas la

señal de referencia Vr y la señal del VCO Vo, en sus salidas se obtienen las

señales Up y Down. De acuerdo con la tabla de verdad de las salidas Up y Down,

los interruptores del circuito “bomba de carga” se activarán para que en su salida

se presenten tres estados; alto (+Vcc, interruptor superior cerrado), bajo (tierra,

interruptor inferior cerrado) y alta impedancia (ambos interruptores abiertos). Los

niveles de salida de la bomba de carga, que se presentan como pulsos de

duración variable en función del error de fase/frecuencia entre las señales Vr y Vo,

serán filtrados para generar el voltaje de control que fija y estabiliza la frecuencia

de operación del VCO.

Figura 3.7. Ventana principal del simulador de detectores de fase

Page 68: Diseño y realización de osciladores en la banda X

54

3.8 Filtro de Lazo

El simulador cuenta con cuatro opciones de filtro de lazo:

1) Tipo 1, pasivo de primer orden con ganancia unitaria

2) Tipo 2, pasivo de primer orden con ganancia variable

3) Tipo 3, pasivo de segundo orden con ganancia variable

4) Tipo 4, activo de segundo orden con ganancia variable

Los tipos de filtro seleccionables se muestran en la figura 3.8.

Figura 3.8. Tipos de filtro de lazo en el simulador de PLL’s

Cada filtro es modelado por su función de transferencia en Simulink, figura 3.9. El

filtro recibe la señal proveniente de la bomba de carga 𝑉𝑑 y dependiendo del tipo

seleccionado, el simulador elegirá la salida 𝑉𝑐 correspondiente.

A continuación se describen brevemente los filtros considerados en el simulador.

Figura 3.9. Filtros implementados en Simulink MatLab

Page 69: Diseño y realización de osciladores en la banda X

55

3.8.1 Filtros pasivos

Los filtros pasivos son esencialmente filtros pasa-bajas resitivos-capacitivos (RC).

El ancho de banda del filtro depende de las constantes de tiempo en su función de

transferencia.

Para calcular el ancho de banda en filtros pasivos, el simulador parte de un factor

de amortiguamiento óptimo de la respuesta del PLL, ξ=0.707= 1/√2 . Este factor

puede ser modificado para otras condiciones de simulación.

En la figura 3.10 se muestra la simulación correspondiente filtro de lazo pasivo tipo

2. De acuerdo con los principios teóricos descritos en el capítulo precedente, las

ecuaciones (2.23) describen el cálculo del factor de amortiguamiento y frecuencia

natural de un filtro pasivo tipo 2.

Para calcular los valores del filtro el simulador establece un ancho de banda del

1% de valor de la frecuencia de referencia, con el valor calculado del ancho de

banda y el factor de amortiguamiento es posible calcular la frecuencia natural del

sistema.

A partir de la frecuencia natural el simulador obtiene el valor de la frecuencia 𝜔2 y

de 𝜔1. Para calcular las resistencias 𝑅1 𝑅2, se utilizan las ecuaciones (2.15) se

propone una capacitancia fija de 100 pF.

Si los valores de los componentes del filtro son proporcionados el simulador solo

calculará el factor de amortiguamiento y la frecuencia natural del sistema.

Figura 3.10. Simulación del filtro pasivo tipo 2

Page 70: Diseño y realización de osciladores en la banda X

56

3.8.2 Filtro activo

El simulador permite calcular la respuesta de un filtro activo tipo 4, figura 3.11. El

uso de este filtro activo que es un proporcional-integral (PI), asociado a la

respuesta de un detector PFD, presentará un intervalo de captura infinito con lo

que la respuesta del PLL se estabilizará (amarre del lazo) en un tiempo muy corto.

Para calcular los valores del filtro activo de segundo orden, el simulador calcula

primeramente la respuesta de primer orden. Con el valor del factor de

amortiguamiento predefinido, se calcula tanto la frecuencia de corte 𝜔1, como la

frecuencia natural del sistema, ecuaciones (2.28). Posteriormente, de la figura

2.27, considerando la distancia existente entre 𝜔1 y la frecuencia 𝐾/𝑁, se calcula

la frecuencia 𝜔2 que depende de 𝑅1 y 𝐶2. Al término de la simulación, el simulador

especifica los valores de los elementos del filtro como se ilustra en la figura 3.11.

Como resultado final de la simulación, se mostrarán los diagramas de magnitud y

fase del filtro.

Para la realización experimental de un generador PLL a 7.2 GHz, se utilizará este

filtro activo con objeto de asegurar un tiempo de amarre corto.

Figura 3.11. Simulación del filtro de lazo activo

3.9 Oscilador controlado por voltaje

El simulador desarrollado permite determinar las condiciones de operación del

VCO, a partir de conocer su función de transferencia medida experimentalmente.

Page 71: Diseño y realización de osciladores en la banda X

57

De acuerdo a la tabla de valores de voltaje de control y frecuencia de salida se

calcula la ganancia del VCO.

El modelo del VCO se configura con base en bloques funcionales de Simulink

como se muestra en la figura 3.12, al amplificador de ganancia 𝐾𝑜 ingresa la señal

de voltaje de control proveniente del filtro de lazo, un sumador se encarga de

realizar la combinación entre la ganancia del VCO y la frecuencia de libre

corrimiento, posteriormente la señal pasa por un integrador y, por último, se

generar la forma de onda a través de los dos últimos bloques.

Figura 3.12. Modelado del VCO en Simulink

En la pestaña VCO, figura 3.13, el simulador muestra la gráfica de frecuencia-

voltaje del VCO, así como la forma de onda y el espectro de la señal generada.

Como dato de salida, se indica el voltaje de control para generar la frecuencia

especificada. Este valor es el necesario para que el PLL sintonice al VCO y lo

estabilice.

Figura 3.13. Simulación del VCO

Page 72: Diseño y realización de osciladores en la banda X

58

3.10 Inestabilidad del PLL

La estabilidad del PLL depende esencialmente del factor de amortiguamiento. Una

respuesta sub-amortiguada (ξ<0.5) ocasiona que el PLL no se amarre y en

consecuencia no se alcance una condición de operación estable. En la figura 3.14

se ilustra una condición inestable para un factor de amortiguamiento ξ=0.2. Como

se observa en la figura, el error de fase es periódico y el voltaje de control del VCO

se alcanza el valor límite de la fuente de alimentación del filtro de lazo. El VCO, no

se amarra y permanece en carrera libre ya que el PLL no logra estabilizarse en

una frecuencia fija.

Figura 3.14. Respuesta del PLL inestable.

3.11 Requerimientos computacionales

Para la programación y ejecución del simulador desarrollado en este trabajo se

han utilizado los recursos computacionales enlistados a continuación

1. Computadora personal portátil equipada con procesador Intel® Core™ i3 de

64 bits, 6 GB de memoria RAM y 1000 GBytes de disco duro y sistema

operativo Windows 8.1.

La computadora utilizada tiene una precisión de 2.22 × 10−16, el simulador fue

probado y adaptado en MatLab versiones 2012b, 2013b, y 2017b.

Page 73: Diseño y realización de osciladores en la banda X

59

3.12 Validación del simulador.

Para validar el simulador se repitieron algunos ejemplos de PLL tomados de la

literatura y el simulador ha generado resultados similares a los reportados.

3.12 Conclusión

Con base en los aspectos teóricos de esquemas PLL en el capítulo 2, en este

capítulo se ha descrito el desarrollo de un simulador de PLL’s, El programa utiliza

las bibliotecas Simulink/MatLab de bloques funcionales electrónicos básicos para

configurar una arquitectura PLL general. De esta manera, el simulador incluye

todos los modelos de los elementos constituyentes de un esquema PLL:

comparador de fase, filtro de lazo, oscilador controlado por voltaje, divisor de

frecuencia.

El proceso de simulación se inicia cuando se especifican ventana principal del

simulador los parámetros de frecuencia de referencia y frecuencia a generar. Para

la simulación es posible elegir el tipo de detector de fase así como el tipo de filtro

de lazo y el tipo de amortiguamiento del PLL, si no es posible obtener la

referencia indicada, el software propondrá una nueva frecuencia de referencia.

La simulación reporta los parámetros de diseño, incluyendo el divisor de

frecuencia y los valores de componentes del filtro de lazo, adicionalmente calcula

una condición en la cual el PLL no podrá lograr el amarre. Los datos de salida son

la base para la realización experimental de generadores de microondas. El

simulador ha sido utilizado para calcular los parámetros de diseño de un

generador de 7.2 GHz (banda X). La realización experimental se reporta en el

capítulo siguiente.

3.13 Referencias

[1] Analog divices, “MMIC VCO w/ buffer amplifier, 6.8 - 7.4 GHz, HMC505LP4 /

505LP4E”.

[2] Petr Vágner, “X-Band PLL Synthesizer”, RADIOENGINEERING, VOL. 15, NO.

1, APRIL 2006.

[3] Andy Howard, “Simulación del lazo de enganche de fase”, Agilent

Technologies, Junio 2008.

[4] Dragan Jovcic, “Phase Locked Loop System for FACTS”, IEEE transactions on

power systems, vol. 18, no. 3, august 2003.

Page 74: Diseño y realización de osciladores en la banda X

60

[5] H. R. Pota, “Phase-Locked Loop”, June 6, 2005

[6] Bianchi G., Kuznetsov N. V., Leonov G. A., “Limitations of PLL simulation:

hidden oscillations in MatLab and SPICE”, IEEE 7th International Congress on

Ultra-Modern Telecommunications and Control System, 2015.

[7] Jyoti P. Patra, Umesh C. Pati, “Behavioural Modelling and Simulation of PLL

Based Integer N Frequency Synthesizer using Simulink”, International Journal of

Electronics and Communication Engineering. Volume 5, Number 3 (2012), pp. 351-

362

[8] Z. M. Ashari, A.N. Nordin, “Theoretical modeling and simulation of Phase–

Locked Loop (PLL) for clock data recovery (CDR)”, IIUM Engineering Journal, Vol.

12, No. 5, 2011

[9] Holly Moore, “Matlab para ingenieros”, 1ra Edición, Prentice Hall.

[10] Diego Orlando Barragán, “Manual de Interfaz Gráfica de Usuario en Matlab”.

Page 75: Diseño y realización de osciladores en la banda X

61

Capítulo 4

Realización experimental de un generador de

microondas de 7.2 GHz y su aplicación

potencial en un esquema de transmisión

satelital

Page 76: Diseño y realización de osciladores en la banda X

62

4.1 Introducción:

En el marco de este trabajo, en este capítulo se describe la realización de un

generador de microondas de 7.2 GHz. Este generador puede utilizarse en

plataformas de nano-satélites para la configuración de enlaces de trasmisión-

recepción en la banda X. Un enlace satelital comprende tres etapas

complementarias: la primera es el enlace de subida; este proceso se realiza entre

la estación terrena y el satélite; la segunda consiste en la recepción y transmisión

de la señal por el satélite; por último, se realiza el enlace de bajada entre el

satélite y la estación terrena [1]. El esquema de un enlace satelital completo se

ilustra en la figura 4.1.

Figura 4.1. Esquema de comunicación satelital en banda X.

El envío de información hacia el satélite se realiza por el enlace de subida. En este

proceso, se requiere modular una frecuencia sub-portadora, conocida como señal

de frecuencia intermedia (FI); la señal moduladora es la señal de información o de

banda base. La FI modulada se mezcla con la señal portadora de RF del enlace

ascendente, para ser transmitida hacia el satélite.

Con propósito de configurar un enlace satelital ascendente en la banda X, en este

capítulo se describe la realización experimental de generadores de microondas

Page 77: Diseño y realización de osciladores en la banda X

63

basados en PLL a 7.2 GHz. La señal generada se utiliza para conformar un

modulador de video mediante una sub-portadora de frecuencia intermedia de 140

MHz. Este esquema puede ser utilizado para configurar un enlace satelital para la

transmisión de video e imágenes en la banda X de radiofrecuencias.

4.2 Realización de un generador de microondas de 7.2 GHz basado

en PLL

4.2.1 Señal de referencia

Como se ha mencionado previamente, la frecuencia de referencia en un PLL debe

presentar muy alta estabilidad, alta pureza espectral y bajo ruido de fase debido a

que estos factores determinan la estabilidad del PLL. Los osciladores de

referencia se fabrican con cristales de cuarzo, material que presenta una gran

estabilidad en presencia de variaciones importantes de temperatura. Los

osciladores de cuarzo presentan bajo nivel de ruido de fase, baja deriva en

frecuencia y factor de calidad alto.

Los osciladores de cristal con compensación de temperatura (TCXO), son

ampliamente utilizados como fuentes de frecuencia de referencia en esquemas

PLL. Los TCXO utilizan un sensor de temperatura (un termistor) como regulador

del voltaje que se aplica a un diodo varactor (reactancia controlada por voltaje). En

los osciladores TCXO se encapsula el cristal, los elementos de compensación y el

circuito oscilador con objeto de asegurar la estabilidad de operación.

Para la realización del PLL a 7.2 GHZ, se han utilizado dos osciladores de

referencia de 75 MHz con objeto de comparar sus desempeños. La

caracterización de los osciladores de referencia se describe en la sección 4.2 de

este documento.

4.2.2 Detector de Fase/Frecuencia (PFD)

Para el detector de fase del esquema PLL de 7.2 GHz, se seleccionó un circuito

detector de fase/frecuencia de 3 estados del fabricante Analog Devices. El

detector fase/frecuencia de tres estados incluye el circuito de bomba de carga.

El comparador de fase/frecuencia utilizado se muestra en la figura 4.2 [2].

Page 78: Diseño y realización de osciladores en la banda X

64

Figura 4.2. Diagrama a bloques del PFD de tres estados

La ganancia del PFD depende del voltaje de alimentación y el intervalo lineal del

error de fase entre las señales de referencia y VCO. La figura 4.3 muestra la

gráfica característica del PFD de donde puede calcularse su ganancia.

Figura 4.3. Voltaje de salida vs error de fase

4.2.3 Filtro de Lazo

De acuerdo con la simulación realizada, el filtro activo de segundo orden es el que

provee la mayor estabilidad al esquema PLL. Para el generador de 7.2 GHz, se

seleccionó un amplificador operacional de banda ancha de la compañía Texas

Instruments [3]. El amplificador operacional utilizado alcanza un ancho de banda

de hasta 100 MHz a ganancia unitaria. De acuerdo a los valores obtenidos en la

simulación, en la figura 4.4 se ilustra el filtro de lazo diseñado para proveer el

voltaje necesario, centrar y estabilizar la frecuencia de operación del VCO.

Page 79: Diseño y realización de osciladores en la banda X

65

Figura 4.4. Filtro de lazo activo

4.2.4 Oscilador controlado por voltaje

El VCO propuesto para la fabricación del PLL es de marca Analog Devices y es

sintonizable un intervalo de frecuencias entre 6.2 y 7.8 GHz. El diagrama interno

se muestra en la figura 4.5 [4], contiene un oscilador sintonizable por voltaje y un

circuito amplificador.

Figura 4.5. Diagrama a bloque del VCO

La medición de la relación frecuencia-voltaje del VCO se muestra en la figura 4.6.

La relación es lineal por intervalos entre 6.3 y 7.8 GHz. La frecuencia de 7.2 GHz

se fija con un voltaje de control de 4.2 volts. Este voltaje estabilizará la frecuencia

generada.

Figura 4.6. Característica frecuencia-voltaje del VCO

Page 80: Diseño y realización de osciladores en la banda X

66

4.2.5 Divisor de frecuencia

De acuerdo con la simulación del PLL, la razón de división entre la frecuencia de

operación del VCO y la frecuencia de referencia es 96. Este divisor se ha

configurado mediante una cascada de 3 divisores de frecuencia con factores de 4,

8 y 3.

4.3 Integración del generador PLL de 7.2 GHz

4.3.1 PLL discreto

Un generador de microondas de 7.2 GHz ha sido desarrollado experimentalmente.

Cada uno de los bloques descritos en las secciones anteriores fue realizado por

separado y en una primera etapa, se ensamblaron para comprobar el

funcionamiento del generador, bloque por bloque (PLL discreto). En la figura 4.7,

se muestra el PLL a base de bloques separados VCO, divisor de frecuencia,

comparador de fase/frecuencia, filtro de lazo y oscilador de referencia.

Figura 4.7. Integración por bloques básicos del generador PLL de 7.2 GHz

El generador fue probado con dos osciladores de referencia de 75 MHz (marcas

FOX Electronics y MMD Componentes). En la figura 4.8 se ilustran los espectros y

el ruido de fase de estos osciladores. La figura 4.8a corresponde al oscilador FOX,

el cual genera armónicos alrededor de 75 MHz, lo que representa una señal con

pureza espectral pobre. La figura 4.8b corresponde al ruido de fase del oscilador

FOX. El nivel de ruido de -109 dBc/Hz a una distancia de 10 KHz (offset) de 75

MHz es relativamente alto. Esto significa que esta señal de referencia ocasionará

que el ruido de fase del generador de microondas sea relativamente alto y la señal

generada no será recomendable como portadora de información en un sistema de

comunicación práctico. En comparación, en la figura 4.8c, se muestra el espectro

de la señal de referencia con el oscilador MMD componentes. En este caso, la

señal no presenta armónicos lo que indica que su pureza espectral es más alta en

comparación con el oscilador FOX. En la figura 4.8d, el ruido de fase del oscilador

MMD es de -130 dBc/Hz a 10KHz de distancia de 75 MHz. Como se observa en

esta última figura, el nivel de ruido es más pequeño, 20 dB, con respecto al

oscilador FOX; esto se traducirá en menor ruido de fase en la frecuencia generada

a 7.2 GHz.

Page 81: Diseño y realización de osciladores en la banda X

67

En la figura 4.9 se ilustran los espectros y ruidos de fase de la señal de 7.2 GHz

generada con el PLL discreto, para los dos tipos de osciladores de referencia

utilizados. En las figuras 4.9a y b se muestra el espectro y el ruido de fase cuando

se utiliza el oscilador de referencia FOX. En este caso, el espectro generado

presenta armónicos introducidos por el espectro de la señal de referencia. El ruido

de fase es alto como consecuencia del ruido propio del oscilador FOX. En las

figuras 4.9c y d se muestra el espectro y el ruido de fase cuando se utiliza el

oscilador de referencia MMD. De la comparación de las mediciones, es posible

observar que el ruido de fase con el oscilador MMD es muy inferior (-101 dBc/Hz)

comparada con el del oscilador FOX (-66.51 dBc/Hz).

De estos resultados, se concluye que el generador de microondas de 7.2 GHz

utilizando el oscilador de referencia MMD Components presentará el mejor

desempeño y mejores características de operación. Con esta base, se ha

realizado la versión integrada del generador de 7.2 GHz y los resultados se

reportan en la sección siguiente.

(a) (b)

(c) (d)

Figura 4.8. Características de las señales de referencia del generador PLL. Oscilador FOX, a) espectro, b) ruido de fase. Oscilador MMD components; c)

espectro, d) ruido de fase

Page 82: Diseño y realización de osciladores en la banda X

68

a) b)

c) d)

Figura 4.9. Características de las señales generadas por el PLL “discreto”. Oscilador de referencia FOX, a) espectro, b) ruido de fase. Oscilador de

referencia MMD components; c) espectro, d) ruido de fase

4.3.2 PLL integrado

Una vez que se probó el funcionamiento del PLL discreto por (bloques separados),

se realizó su integración en una sola tarjeta electrónica. El generador integrado se

muestra en la figura 4.10.

Figura 4.10. Generador PLL integrado

Page 83: Diseño y realización de osciladores en la banda X

69

4.3.3 Caracterización y resultados del PLL integrado

El PLL integrado fue caracterizado y su desempeño se ilustra en la figura 4.11.

En la figura 4.11 a y b se ilustran los espectro y ruido de fase a 7.2 GHz,

respectivamente. El espectro y ruido de fase de la señal de 7.2 GHz generada con

el PLL integrado son similares a los obtenidos con el PLL discreto. El PLL

integrado se utilizará en un esquema general transmisor-receptor con aplicación

potencial en enlaces de comunicaciones inalámbricas tanto terrestres como en

plataformas nanosatélites. En esquema de banda X permite la transmisión de

señales de información de banda ancha como video e imágenes, lo que

representa un campo de gran interés para el desarrollo de tecnología de

nanosatélites para misiones espaciales de imágenes, comunicaciones y

percepción remota.

a) b)

Figura 4.11. Características de las señales generadas por el PLL integrado a 7.2 GHz, a) espectro; b) ruido de fase.

4.4 Comparación del generador de microondas desarrollado con

generadores comerciales.

Los resultados experimentales alcanzados en el diseño y realización de

generadores de microondas en el INAOE se comparan con generadores

comerciales en relación con las características y parámetros de desempeño. La

tabla 4.1 ilustra una comparación mínima [6-9]. En la tabla 4.1 se observa que los

resultados alcanzados en nuestro desarrollo, son comparables con generadores

comerciales.

El desarrollo de generadores de microondas descrito en este trabajo muestra y

sustenta la capacidad que se viene adquiriendo en el INAOE para la generación

de tecnologías de radiofrecuencia y microondas, las cuales hasta ahora son

Page 84: Diseño y realización de osciladores en la banda X

70

importadas por nuestro país. La experiencia y capacidad de desarrollo de estas

tecnologías en nuestro país pueden aprovecharse para sustentar proyectos en el

campo de las telecomunicaciones y ciencias espaciales de interés propio.

Tabla 4.1. Comparación del generador experimental con generadores comerciales.

4.5 Propuesta de aplicación: esquema modulador-demodulador de

video para transmisión inalámbrica en 7.2 GHz.

En el marco de este trabajo, el generador de 7.2 GHz puede utilizarse para

configurar enlaces de microondas para la transmisión de información analógica o

digital en enlaces terrestres punto a punto o satelitales (tierra-espacio-tierra).

Para demostrar una aplicación potencial, en esta sección se describe un esquema

modulador de video para transmisión inalámbrica en la banda X, figura 4.12. El

esquema se describe en las secciones siguientes.

Generador Imagen Frecuencia Ruido de fase 10

kHz

Potencia de salida

Costo

JEZETECK JDFS30180

MS90

3GHz - 18GHz

―90dBc/Hz

≥10dBm

PHS - 8300H

10 MHz – 18.0 GHz

-96 dBc/Hz

5 dBm a 6 GHz

LMS-103

5 GHz - 10 GHz

-81/-89 dBc/Hz

+10 a -40 dBm

$ 55,350.06

N5171B EXG

9 kHz-6 GHz

-122 dBc/Hz

+26 dBm a 1 GHz

$ 190,149.70

Generador PLL

experimental

6.8 GHz - 7.6 GHz

-101 dBc/Hz

1 dBm a 7.2 GHz

$15,000.00

Page 85: Diseño y realización de osciladores en la banda X

71

Figura 4.12. Esquema modulador-demodulador de señales de video en banda “X”.

4.6 Modulador video en frecuencia modulada (FM)

En modulación de frecuencia la información se traduce en variaciones de

frecuencia de la señal portadora. La mayor ventaja de esta técnica consiste en que

la señal modulada en frecuencia es mucho menos susceptible al ruido y distorsión

de amplitud.

En las transmisiones con modulación de frecuencia, la información se imprime en

una frecuencia intermedia (FI). Esta última, se convierte en una sub-portadora que

se mezcla con la señal de microondas (conversión de subida) para transmisiones

de larga distancia. Una FI estándar en comunicaciones de radio es 140 MHz. En

esta sección se describe la realización de un modulador de video en frecuencia

modulada FM en dicha frecuencia.

Para la modulación de FM se utiliza un VCO comercial (Mini-circuits, Inc.) con

transferencia frecuencia-voltaje mostrada en la figura 4.13. De esta característica

se determina que la frecuencia de 140 MHz se genera con un voltaje de sintonía

de 6.5 V. La ganancia (pendiente) del VCO alrededor de 140 MHz es de 8 MHz/V.

Page 86: Diseño y realización de osciladores en la banda X

72

Figura 4.13. VCO como modulador de FM

Para realizar la modulación FM con señal de video, se propone el esquema a

bloques mostrado en la figura 4.14.

Figura 4.14. Modulador de video en FM

4.6.1 Circuito sumador del modulador de FM

El circuito sumador del modulador de FM se realiza con un amplificador

operacional con ancho de banda de 10 MHz. El circuito presenta ganancia variable

y ajuste de voltaje para fijar el punto de funcionamiento del VCO. La respuesta en

frecuencia del sumador se muestra en la figura 4.15, donde se observa un ancho

de banda de 7.5 MHz, lo que resulta suficiente para procesar una señal de video

analógico.

Figura 4.15. Respuesta en frecuencia del bloque sumador

Page 87: Diseño y realización de osciladores en la banda X

73

El circuito sumador se caracterizó y la figura 4.16 muestra las señales de video de

entrada y salida.

Figura 4.16. Señales de entrada y salida del bloque sumador

4.6.2 Modulador de video a 140 MHz.

El circuito modulador de FM a 140 MHz se muestra en la figura 4.17.

Figura 4.17. Modulador experimental de FM

En la 4.18a se muestra el espectro de la señal portadora de 140 MHz. La

portadora modulada en FM, por una señal de video analógico, se muestra en la

figura 4.18b.

Page 88: Diseño y realización de osciladores en la banda X

74

(a) (b)

Figura 4.18: a) Espectro de la señal portadora de 140 MHz; b) portadora modulada en frecuencia por una señal de video

4.7 Modulación de la portadora de 7.2 GHz

La FI de 140 MHz modulada con la señal de video es una sub-portadora que, a su

vez, modula en amplitud la portadora de microondas de 7.2 GHz. Este proceso

generará dos bandas laterales separadas 140 MHz de la frecuencia central, como

se muestra en la figura 4.19.

(a) (b)

Figura 4.19. Espectro de modulación alrededor de 7.2 GHz, a) con desviación de frecuencia de FI de 140 MHz; b) espectro de modulación de FM

Cada una de las bandas laterales, inferior y superior, mostrará la modulación de

FM. La portadora de 7.2 GHz será transmitida por enlaces terrestres punto a punto

o por enlaces satelitales tierra-espacio-tierra. En el extremo receptor, la

información podrá ser recuperada a partir de la demodulación de las señales

portadoras de microondas y FI, respectivamente.

Page 89: Diseño y realización de osciladores en la banda X

75

4.8 Conclusión

Cada uno de los componentes del PLL desarrollado fueron elegidos por sus

propiedades y características, en base al proceso de simulación antes realizado.

Para validar su funcionamiento se realizó un sistema discreto y, posteriormente,

un sistema integrado, obteniendo un oscilador con alta estabilidad y bajo nivel de

ruido de fase, comparable con algunos osciladores comerciales.

Los sistemas de comunicación satelital requieren de señales a alta frecuencia para

la transmisión y recepción de datos, el diseño del sintetizador de frecuencia de

banda X tipo PLL realizado en este trabajo se utilizará en enlaces de

radiofrecuencia experimentales con aplicaciones en comunicaciones inalámbricas

terrestres y en plataformas de nanosatélites, como proyectos de investigación y de

desarrollo tecnológico, en el campo de actividades del INAOE y el CRETEALC.

4.9 Referencias

[1] John G. Proakis, “Communication systems engineering”, 2nd Ed, Prentice-Hall,

Inc.

[2] Analog divices, “HBT digital phase-frequency detector, 10 - 1300 MHz,

HMC439QS16G / 439QS16GE”.

[3] Texas Instrument, “THS403x 100-MHz Low-Noise High-Speed Amplifiers”.

[4] Analog divices, “MMIC VCO w/ buffer amplifier, 6.8 - 7.4 GHz, HMC505LP4 /

505LP4E”.

[5] Texas Instrument “LM118-N/lm218-N/LM318-N Operational Amplifiers”.

[6] Alexander Chenakin, “Building a Microwave Frequency Synthesizer—Part 1

and 2: Component Selection”, High Frequency Electronics Summit Technical

Media, LLC, June 2008.

[7] http://www.dfine-mw.com/Products/FrequencySource/Source/131.html, acceso

septiembre 15, 2017.

[8] http://vaunix.com/products/signal-generators/lms-signalgenerators/performance,

acceso septiembre 15, 2017.

[9] http://www.pronghorn-solutions.com/datasheets/8300H_Datasheet.pd, acceso

septiembre 15, 2017.

[10] Keysight Technologies, “Signal Generator Selection Guide”, N5171B EXG

Page 90: Diseño y realización de osciladores en la banda X

76

Conclusiones y trabajo a

futuro

Page 91: Diseño y realización de osciladores en la banda X

77

Conclusiones

Existen gran variedad de osciladores de radiofrecuencia utilizados como

portadoras y sub-portadoras en sistemas de comunicaciones espaciales y

terrestres. Los osciladores basados en PLLs tienen la capacidad de controlar la

fase y la frecuencia con una precisión alta proporcionando soluciones eficientes

para diversos problemas de diseño en comunicaciones, aplicaciones inalámbricas

y de RF. En esta tesis se reporta el diseño, simulación y realización de un

generador de microondas en arquitectura PLL para la banda X a 7.2 GHz. Para el

diseño de generadores PLL, se ha desarrollado un simulador, basándose en

bloques funcionales básicos en ambiente Simulink/Matlab. El desarrollo del

simulador ha permitido definir los parámetros de diseño de un generador

experimental a una frecuencia de 7.2 GHz.

Un oscilador de Lazo de amarre de fase es un sistema de control que estabiliza el

lazo a través de una frecuencia de referencia, en la realización de este trabajo, se

estudió y analizó la arquitectura de lazos de amarre de fase, como sistema de

control para la generación de microondas y en aplicaciones de

telecomunicaciones. Cada uno de los bloques que integra un PLL tiene

características específicas y dependiendo de la aplicación del oscilador es posible

seleccionar el tipo de bloque adecuado y el tipo de respuesta que tendrá el

sistema.

El proceso de simulación fue fundamental para poder determinar los parámetros

de diseño, tales como la frecuencia de referencia, el factor de división y la posible

combinación de divisores de frecuencia comerciales. El simulador desarrollado ha

permitido optimizar la respuesta del PLL para su realización experimental. El

simulador permite visualizar las respuestas de los bloques constituyentes de un

PLL y del sistema completo. La simulación permite calcular los parámetros de

factor de amortiguamiento o los valores de los elementos y componentes del PLL

para establecer las condiciones de estabilidad de su operación.

El generador experimental fue realizado y caracterizado y su desempeño ha sido

comparado con algunos generadores comerciales, lo que demuestra que este tipo

de tecnología puede desarrollarse en el INAOE a bajo costo.

Debido a la aplicación en ámbitos espaciales que tiene la banda “X” y la capacidad

que tiene para modular información en banda ancha logrando conjuntar señales

de video, imágenes, sensores, entre otros, el generador diseñado pude ser

utilizado como portadora de información en enlaces de comunicaciones terrestres

y en plataformas de nanosatélites.

Page 92: Diseño y realización de osciladores en la banda X

78

Trabajo a futuro

1) En una etapa posterior a este trabajo se realizará el demodulador de FI de

140 MHz. Este proceso permitirá recuperar la señal de video en un

esquema completo. El subsistema modulador-demodulador de FI se

interconectará al esquema de transmisión-recepción de microondas en la

banda X con objeto de evaluar una potencial transmisión satelital.

2) También se propone estudiar, simular y desarrollar un esquema de divisor

fraccional para ampliar el rango de frecuencia de referencias que pueden

ser utilizadas en un sistema PLL.

3) En relación con el simulador de esquemas PLL desarrollado en el marco de

este trabajo, se pretende hacer más robusto el simulador al agregar un

módulo que genere ruido y simule la presencia de ruido de fase en las

señales de referencia y generada.

Trabajos derivados de la tesis

Del trabajo realizado en esta tesis se han publicado dos artículos, el primero en

congreso nacional y el segundo en congreso internacional.

1) Simulador para el diseño de generadores de microondas en lazo de amarre

de fase (PLL) en banda “X” para comunicaciones espaciales. Presentado

en el congreso de Instrumentación SOMI XXXII en Acapulco, Gro., México,

25 al 27 de octubre de 2017. Congreso organizado por la Sociedad

Mexicana de Instrumentación (SOMI) y el CCADET-UNAM.

2) Modeling and simulation of phase-locked loops (PLL) microwave

generators using Matlab/Simulink® basic blocks. Presentado en el

congreso IEEE URUCON 2017 en Montevideo, Uruguay. Congreso

organizado por Sección Uruguay del IEEE. 23-25 de octubre de 2017.