practica 2 vhdl

6
SEP DGEST SNEST INSTITUTO TECNOLÓGICO DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA DISEÑO DIGITAL CON VHDL 8:00 a 9:00pm, Lunes, Miércoles, Viernes 7:00 a 9:00 pm, Martes “Practica 2.- Multiplexor y DecodificadorAlumnos: Núm. de control: Leonardo Adán Mora Vázquez 11260099 José Fortino Rico San Martín 11260110 Luis Eduardo Guzmán Puga 11260085 Julián Vera Ávila 11260125 Profesor: Ing. Arturo Rodríguez Casas H. MATAMOROS, TAM. 13 DE SEPTIEMBRE DE 2013

Upload: leonardo-mora

Post on 08-Feb-2016

23 views

Category:

Documents


0 download

DESCRIPTION

otra practica de vhdl qye esta mucho mejor

TRANSCRIPT

Page 1: PRACTICA 2 VHDL

SEP DGEST SNEST

INSTITUTO TECNOLÓGICO DE MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

DISEÑO DIGITAL CON VHDL 8:00 a 9:00pm, Lunes, Miércoles, Viernes

7:00 a 9:00 pm, Martes

“Practica 2.- Multiplexor y Decodificador”

Alumnos: Núm. de control:

Leonardo Adán Mora Vázquez 11260099

José Fortino Rico San Martín 11260110

Luis Eduardo Guzmán Puga 11260085

Julián Vera Ávila 11260125

Profesor: Ing. Arturo Rodríguez Casas

H. MATAMOROS, TAM. 13 DE SEPTIEMBRE DE 2013

Page 2: PRACTICA 2 VHDL

OBJETIVO Implementar un multiplexor y decodificador utilizando VHDL.

MARCO TEÓRICO

MULTIPLEXOR

Los multiplexores son circuitos combinacionales con varias entradas y una única salida de datos, están dotados de entradas de control capaces de seleccionar una, y sólo una, de las entradas de datos para permitir su transmisión desde la entrada seleccionada hacia dicha salida.

En el campo de la electrónica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y transmitirlas por un medio de transmisión compartido. Para ello lo que hace es dividir el medio de transmisión en múltiples canales, para que varios nodos puedan comunicarse al mismo tiempo. Una señal que está multiplexada debe demultiplexarse en el otro extremo. Según la forma en que se realice esta división del medio de transmisión, existen varias clases de multiplexación:

Multiplexación por división de frecuencia Multiplexación por división de tiempo Multiplexación por división de código Multiplexación por división de longitud de onda

Símbolo lógico de un selector/multiplexor de datos de una salida y 4 entradas.

Page 3: PRACTICA 2 VHDL

DECODIFICADOR

Un decodificador es considerado como el proceso inverso de un codificador, es decir, un traductor de lenguaje de las maquina al lenguaje de la gente. La función básica de un decodificador es detectar la presencia de una determinada combinación de bits (código) en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. En su forma más general, un decodificador posee, líneas de entrada para gestionar n bits, y en una de las 2n líneas de salida indica la presencia de una o más combinaciones de n bits

TABLA DE VERDAD DEL DECODIFICADOR

El decodificador tiene 4 entradas a la izquierda y tiene a la derecha 10 líneas de salida.

Page 4: PRACTICA 2 VHDL

1.- Diseñe en VHDL un multiplexor de 2 entradas de 4 bits e impleméntelo en el kit de desarrollo Basys2. CODIGO VHDL

library IEEE; use IEEE.std_logic_1164.all; entity mux2_4bits is port( a : in STD_LOGIC_VECTOR(0 to 3); b : in STD_LOGIC_VECTOR(0 to 3); s : in bit; z : out STD_LOGIC_VECTOR(0 to 3) ); end mux2_4bits ; architecture func of mux2_4bits is begin with s select Z <= a when '0', b when others; end func;

Page 5: PRACTICA 2 VHDL

2.- Diseñe un decodificador de 3 a 8 en lenguaje VHDL e impleméntelo en el kit de desarrollo Basys2. CODIGO VHDL

library IEEE; use IEEE.STD_LOGIC_1164.all; entity decod is port ( sw1 : in std_logic_vector( 2 downto 0); Led: out std_logic_vector(7 downto 0)); end decod; architecture decodificador of decod is begin with sw1 select Led<= "00000001" when "000", "00000010" when "001", "00000100" when "010", "00001000" when "011", "00010000" when "100", "00100000" when "101", "01000000" when "110", "10000000" when others; end decodificador;

Page 6: PRACTICA 2 VHDL

OBSERVACIONES Y CONCLUSIONES. Durante la realización de la práctica del multiplexor en el equipo surgieron varios inconvenientes, teníamos idea de cómo hacer el código en VHDL mas no de cómo hacerlo funcionar en el kit de desarrollo Basys2, después de investigar al fin pudimos entender los pasos necesarios para llevar a cabo lo antes mencionado, des pues programamos el Basys2 y la práctica se finalizó correctamente. Para la práctica del decodificador de 3 a 8 yo no surgieron dudas sobre como programarlo pero si sobre el código VHDL, así que entre el equipo desarrollamos el código necesario, después de varios intentos fallidos al final logramos desarrollar el código, programamos el Basys2 y la practico se finalizo correctamente.