tutorial de vhdl

6
PVG.2011 Página 1 Autor: Patricio Villacis Guillen. 1. Para escribir nuestro programa en vhdl debemos seleccionar: FileNewText Editor file 2. Escribir el codigo vhdl de nuestro programa. Tener encuenta que vhdl No es case sensitive, y no es programación secuencial.

Upload: pato-villacis

Post on 18-Jun-2015

865 views

Category:

Education


2 download

TRANSCRIPT

Page 1: Tutorial de vhdl

PVG.2011 Página 1

Autor: Patricio Villacis Guillen.

1. Para escribir nuestro programa en vhdl debemos seleccionar: FileNewText Editor file

2. Escribir el codigo vhdl de nuestro programa. Tener encuenta que vhdl No es case sensitive,

y no es programación secuencial.

Page 2: Tutorial de vhdl

PVG.2011 Página 2

3. Seleccionar File->Project->Set Project to Current File.

4. Luego de esto debemos compilar.

Page 3: Tutorial de vhdl

PVG.2011 Página 3

5. Escogemos el menú Processing y seleccionamos la opción Functional SNF Extractor.

6. Presionamos Start y listo.

En este momento, nuestro programa en vhdl se encuentra sin errores y 100% funcional.

Tengan en cuenta de que el programa puede funcionar al 100%, pero esto no significa que

estamos haciendo lo que nos solicitan. Siempre necesitamos revisar nuestra lógica y una

forma es realizar waveform.

Page 4: Tutorial de vhdl

PVG.2011 Página 4

Para crear el WAVEFORM:

1. Seleccionar un nuevo archivo. FileNewWafeform Editor file .scf

2. Luego tenemos que seleccionar las señales de entradas y salidas. De la siguiente

forma:

a. Seleccione NodeEnter Nodes from SNF…

b. Presionar el botón List. Luego de esto les aparecerán un listado de las

variables disponibles.

Tengan en cuenta que tuvieron que haber compilado previamente su

programa en .vhdl

Page 5: Tutorial de vhdl

PVG.2011 Página 5

c. Seleccionar las variables que utilizaremos en el wafeform.

3. Luego de haber seleccionado las señales de entradas y salidas, debemos poner las

entradas en la forma que nos piden. Por ejemplo:

Page 6: Tutorial de vhdl

PVG.2011 Página 6

4. Luego debemos simular, y listo.

Nota: Antes de simular deben guardar el waveform, para poder simular.