universidad de cuenca facultad de …dspace.ucuenca.edu.ec/bitstream/123456789/4308/1/tesis.pdf ·...

181
UNIVERSIDAD DE CUENCA FACULTAD DE INGENIERIA Escuela de Eléctrica IMPLEMENTACIÓN DE UN ACELERÓGRAFO DE 3 COMPONENTES, BASADO EN EL FBA-23 DE KINEMETRICS CON GEOREFERENCIAMIENTO Y SISTEMA DE COMUNICACIÓN.Tesis previa a la obtención del Título de Ingeniero Eléctrico Autores: Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia Director: Ing. Jaime Alfredo Bermeo Pesantez Cuenca Ecuador 2013

Upload: phungnga

Post on 27-Sep-2018

219 views

Category:

Documents


0 download

TRANSCRIPT

UNIVERSIDAD DE CUENCA

FACULTAD DE INGENIERIA

Escuela de Eléctrica

“IMPLEMENTACIÓN DE UN ACELERÓGRAFO DE 3 COMPONENTES, BASADO EN EL FBA-23 DE KINEMETRICS CON GEOREFERENCIAMIENTO Y SISTEMA DE

COMUNICACIÓN.”

Tesis previa a la obtención del Título de Ingeniero Eléctrico

Autores: Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Director: Ing. Jaime Alfredo Bermeo Pesantez

Cuenca – Ecuador 2013

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

2 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Resumen

En este trabajo se realiza la implementación de un Acelerógrafo de 3 componentes basado en el FBA-23 de Kinemetrics con georeferenciamiento y sistema de comunicación. Este proyecto cuenta con 4 etapas, en la 1ra etapa las señales diferenciales obtenidas del sensor Kinemetrics de tres componentes Longitudinal, Transversal, y Vertical, con ayuda de los operacionales INA114 son amplificadas y se minimiza el ruido que pueda existir, adicionalmente la señal es filtrada utilizando la configuración anti-aliasing con frecuencia de corte de 700Hz teniendo en cuenta el teorema de Nyquist. Luego la señal ingresa en una sub etapa de offset debido a que el ADC soporta únicamente señales positivas, en esta sub etapa se agrega 2.5volt a la señal original que oscila entre +/- 2.5 Volts para aceleraciones inferiores a 1g con lo que se asegura que siempre se tendrán valores positivos en la entrada del ADC. En la segunda y tercera etapa de digitalización y almacenamiento se realiza la conversión Analógica-Digital, y los datos son enviados a grabar si es necesario en la memoria SD que cuenta con su respectivo microprocesador. En la cuarta y última etapa los datos recogidos se mostraran a través de la pantalla del GLCD de 128x64 en este paso también se toma la hora y la localización del acelerógrafo datos provenientes del RTC y del GPS para tener referencia del tiempo y del lugar (donde pueden presentarse estos acontecimientos), el GPS cuenta para esto con un puerto serie. Queda abierta la posibilidad mediante comunicación RS-232 que los datos tomados a través del ADC sean enviados a un ordenador en donde por medio de una interfaz estos sean graficados y guardados si fuera el caso. Palabras Claves Acelerógrafo, FBA-23, Conversión analógica-digital, Micro-SD, Georreferenciación, Comunicación, Almacenamiento.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

3 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Abstract

In this work, we implement a 3-component accelerometer based on the FBA-23 of Kinemetrics with georeferencing and communication system. This project has four stages, in the 1st stage differential signals obtained from three-component sensor Kinemetrics Longitudinal, Transverse, and Vertical, with the help of operational INA114 are amplified and the noise that may exist is minimized, the signal is filtered further using anti-aliasing configuration with a cutoff frequency of 700Hz considering the Nyquist theorem. After that the Signal enters a sub-stage of offset because the ADC supports only positive signals in this sub-step 2.5 Volt adds to the original signal that ranging from + / - 2.5 volts to accelerations lower than 1g thus ensures which always have positive values at the input of the ADC. In the second and third stage of digitization and storage, we make the Analog-Digital conversion and the data are sent to recording if it is necessary in the SD memory that has its respective microprocessor. In the fourth and final stage, the data collected will be displayed across the screen 128x64 GLCD in this step also we take the time and location of accelerometer data from RTC and of the GPS to have reference of time and place (where these events can occur), the GPS account this with a serial port. It remains open through RS-232 data taken through the ADC are sent to a computer where through an interface these are plotted and stored if it is necessary.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

4 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

INDICE GENERAL INDICE GENERAL ..................................................................................................................................... 4

INTRODUCCCIÓN ................................................................................................................................... 20

CAPÍTULO 1 ............................................................................................................................................. 21

SENSORES SÍSMICOS .......................................................................................................................... 21

1. Introducción ................................................................................................................................... 21

1.1 Instrumentos de medición sísmica .......................................................................................... 21

1.1.1 Sismómetro de inercia estándar ...................................................................................... 21

1.1.2 Transductor de velocidad .................................................................................................. 23

1.1.3 Construcción de sensores sísmicos ................................................................................ 24

1.1.4 Sensor de calibración de bobina...................................................................................... 28

1.1.5 Sensores activos ................................................................................................................ 28

1.1.6 Acelerómetros ..................................................................................................................... 29

1.2 Acelerómetro FBA-23 ............................................................................................................... 30

1.2.1 Introducción ......................................................................................................................... 30

1.2.2 Operaciones Básicas ......................................................................................................... 30

1.2.3 Ajuste Cero del FBA 23 ..................................................................................................... 31

1.2.4 Métodos para medir la compensación DC. .................................................................... 31

1.2.5 Funciones de transferencia de los Instrumentos de Kinemetrics ............................... 33

1.3 Ruido sísmico ............................................................................................................................. 37

1.3.1 Observación de ruido ......................................................................................................... 37

1.3.2 Espectros del ruido ............................................................................................................ 38

1.3.3 Relaciones del espectro de potencia y mediciones de amplitud ................................ 38

1.3.4 Origen del ruido sísmico ................................................................................................... 40

CAPÍTULO 2 ............................................................................................................................................. 42

DIGITALIZACIÓN ..................................................................................................................................... 42

2. Introducción ...................................................................................................................................... 42

2.1 Conversión analógica a digital ................................................................................................. 43

2.1.1 Comparación entre la señal analógica y digital ............................................................. 43

2.1.2 Tipos de convertidores analógicos – digitales ............................................................... 44

2.1.3 Características de los convertidores ............................................................................... 49

2.2 Sistemas de almacenamiento .................................................................................................. 51

2.2.1 Tarjeta digital segura (SD) ................................................................................................ 51

2.2.3 Socket SD ............................................................................................................................ 52

2.2.4 Tarjetas Secure Digital (mini SD, micro SD) .................................................................. 53

2.3 Sistemas de comunicación ...................................................................................................... 54

2.3.4 Concepto ............................................................................................................................. 54

2.3.3 Tipos de comunicaciones ................................................................................................. 54

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

5 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

2.4 Sistema de georreferenciación ................................................................................................ 74

2.4.1 Georreferenciación directa ............................................................................................... 75

2.4.2 Georreferenciación indirecta o discreta .......................................................................... 76

2.4.3 Sistema GPS ....................................................................................................................... 76

CAPÍTULO 3 ............................................................................................................................................. 78

RESPUESTA DEL INSTRUMENTO ..................................................................................................... 78

3. Introducción ...................................................................................................................................... 78

3.1 Sistemas lineales ....................................................................................................................... 78

3.1.1 Definición de linealidad ..................................................................................................... 78

3.1.2 Definición de invariante en el tiempo .............................................................................. 79

3.1.3 Conceptos ........................................................................................................................... 79

3.1.4 Propiedades de los sistemas Lineales invariantes en el tiempo ................................ 79

3.2 Representación de Fourier y transformada z. ....................................................................... 82

3.2.1 Transformada de Fourier .................................................................................................. 82

3.2.2 Transformada Z .................................................................................................................. 84

3.3 Tratamiento digital de la señal ................................................................................................. 88

3.3.1 Ventajas del tratamiento digital de la señal .................................................................... 88

3.3.2 Clasificación de los sistemas y señales .......................................................................... 88

3.3.3 Diseño de filtros digitales .................................................................................................. 91

CAPITULO 4 ............................................................................................................................................. 97

PICs ............................................................................................................................................................ 97

4. Introducción. ..................................................................................................................................... 97

4.1 Familia PICs ............................................................................................................................... 97

4.1.1 Gama mini ........................................................................................................................... 97

4.1.2 Gama baja o básica ........................................................................................................... 98

4.1.3 Gama media ........................................................................................................................ 98

4.1.4 Gama alta ............................................................................................................................ 99

4.2 Arquitectura .............................................................................................................................. 100

4.2.1 Arquitectura John Von Neumann ................................................................................... 100

4.2.2 La arquitectura Harvard y sus ventajas ........................................................................ 100

4.2.3 Mapas de memoria Interna (RAM) ................................................................................ 101

4.2.4 Organización de la memoria de programa ................................................................... 102

4.2.5 Registros de funciones especiales Camino de los datos y registro W .................... 103

4.3 DSPIC ........................................................................................................................................ 104

4.3.1 Introducción ....................................................................................................................... 104

4.3.2 Qué es un dsPIC .............................................................................................................. 104

4.3.3 Principales características de los dspic ........................................................................ 105

4.3.4 Familias y Características. ............................................................................................. 105

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

6 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

4.3.5 Características generales de los DSPIC30F. .............................................................. 105

4.3.6 Encapsulados y diagramas de conexiones. ................................................................. 107

4.3.7 La familia DSPIC33F ....................................................................................................... 107

4.3.8 Dispositivos dsPIC33F de propósito general ............................................................... 108

4.3.9 Dispositivos dsPIC33F de control de motores y sistemas de alimentación ............ 108

4.3.10 Diferencias entre dsPIC30F y dsPIC33F ................................................................... 108

4.3.11 Aplicaciones Recomendadas ....................................................................................... 109

4.4 dsPIC33FJ12GP202. .............................................................................................................. 110

4.4.1 Unidad aritmética lógica (ALU). ..................................................................................... 111

4.4.2 Descripción general del motor DSP. ............................................................................. 111

4.5 Módulos. .................................................................................................................................... 112

4.5.1 Módulo UART en el dsPIC. ............................................................................................. 112

4.5.2 Módulo I/O. ........................................................................................................................ 112

4.5.3 Módulo Conversor A/D. ................................................................................................... 113

4.5.4 Módulo PWM. ................................................................................................................... 113

CAPITULO 5 ........................................................................................................................................... 114

UTILITARIOS PARA EL DISEÑO........................................................................................................ 114

5. Introducción .................................................................................................................................... 114

5.1 Proteus ...................................................................................................................................... 114

5.1.1 Interfaz de usuario ........................................................................................................... 114

5.1.2 Captura de esquemas ..................................................................................................... 118

5.2 MIKROC for dsPIC .................................................................................................................. 118

5.2.1 Interfaz de usuario ........................................................................................................... 119

5.2.2 Manejo de Interrupciones en Mikroc for dsPic ............................................................ 123

5.2.3 Librerías del Mikroc Pro. ................................................................................................. 128

5.2.4 Interacción con el ISIS de Proteus. ............................................................................... 129

5.3 Eagle .......................................................................................................................................... 130

5.3.1 Panel de control ................................................................................................................ 130

5.3.2 Esquemas ....................................................................................................................... 133

5.3.3 PCB .................................................................................................................................. 137

5.3.4 Autorouter ...................................................................................................................... 138

5.4 Visual Basic 6.0 ....................................................................................................................... 139

5.4.1 Introducción ....................................................................................................................... 139

5.4.2 El Entorno de Programación Visual Basic 6.0 ............................................................. 140

5.4.3 Programa en Visual Basic 6.0: ....................................................................................... 141

5.4.4 Ejemplo Comunicación Serial. ....................................................................................... 142

CAPITULO 6 ........................................................................................................................................... 148

IMPLEMENTACION ............................................................................................................................... 148

6. Introducción .................................................................................................................................... 148

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

7 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

6.1 Pruebas finales del equipo ..................................................................................................... 148

6.1.1 Prueba de funcionamiento del sensor sísmico acelerómetro ................................... 148

6.1.2 Prueba de funcionamiento de la fuente de poder ....................................................... 154

6.1.3 Prueba de funcionamiento del adc ................................................................................ 156

6.1.4 Prueba de funcionamiento del glcd ............................................................................... 160

6.1.5 Prueba de funcionamiento de la pantalla tactil ............................................................ 164

6.1.6 Prueba de funcionamiento de la micro sd .................................................................... 165

6.1.7 Prueba de funcionamiento del gps ................................................................................ 166

6.1.8 Prueba del reloj de tiempo real ...................................................................................... 169

6.1.9 Prueba de funcionamiento de la comunicación ........................................................... 169

6.1.10 Prueba de funcionamiento de la interfaz gráfica (opcional) .................................... 170

6.1.11 Prueba de funcionamiento del capturador de eventos. ........................................... 171

6.2 Redacción de un manual de funcionamiento del equipo construido. .............................. 172

CAPÍTULO 7 ........................................................................................................................................... 174

CONCLUSIONES Y RECOMENDACIONES .................................................................................... 174

7. Introducción .................................................................................................................................... 174

7.1 Conclusiones ............................................................................................................................ 174

7.2 Recomendaciones ................................................................................................................... 175

REFERENCIAS ...................................................................................................................................... 176

Referencias capítulo 1: ...................................................................................................................... 176

Referencias capítulo 2: ...................................................................................................................... 176

Referencias capítulo 3: ...................................................................................................................... 177

Referencias capítulo 4: ...................................................................................................................... 177

Referencias capítulo 5: ...................................................................................................................... 177

Referencias capítulo 6: ...................................................................................................................... 178

ANEXOS .................................................................................................................................................. 179

ANEXO 1: Placa del Acelerógrafo FBA-23 a realizar. .................................................................. 180

ANEXO 2: CD adicional en donde están los programas y placas a realizarse. ....................... 181

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

8 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

ÍNDICE DE FIGURAS

Figura 1.1 Ondas P y Ondas S ............................................................................................................. 21

Figura 1.2 Sismómetro de inercia estándar ........................................................................................ 22

Figura 1.3 Desplazamiento del sismógrafo en relación al desplazamiento de la tierra ............... 23

Figura 1.4 Respuesta de un sismógrafo mecánico ............................................................................ 23

Figura 1.5 Sensor electromagnético .................................................................................................... 24

Figura 1.6 Sismógrafo de masa resorte. ............................................................................................. 24

Figura 1.7 Sensor de periodo corto de Wood Anderson. .................................................................. 25

Figura 1.8 Garden Gate. ........................................................................................................................ 26

Figura 1.9 LaCoste. ................................................................................................................................. 27

Figura 1.10 Suspensiones del muelle Hoja astática. ......................................................................... 28

Figura 1.11 Esquema del FBA. ............................................................................................................. 29

Figura 1.12 FBA-23 ................................................................................................................................. 30

Figura 1.13 Uno de los acelerógrafos dentro del FBA-223 .............................................................. 31

Figura 1.14 Ruido sísmico en diferentes bandas del filtro en MOL en la Red Sísmica de

Noruega ..................................................................................................................................................... 37

Figura 1.15 Curvas de ruido de Peterson. .......................................................................................... 38

Figura 2.16 Digitalización ....................................................................................................................... 42

Figura 2.17 Diagrama de bloques de la Digitalización ...................................................................... 43

Figura 2.18 Conversor Analógico Digital ............................................................................................. 43

Figura 2.19 Tipos de Convertidores Analógicos Digitales ................................................................ 44

Figura 2.20 Convertidor Escalera ......................................................................................................... 45

Figura 2.21 Convertidor por Aproximaciones Sucesivas .................................................................. 46

Figura 2.22 Convertidor de Doble Rampa ........................................................................................... 47

Figura 2.23 Convertidor de Tensión Frecuencia ................................................................................ 48

Figura 2.24 Convertidor en Paralelo (Flash) ....................................................................................... 48

Figura 2.25 Curva Lineal Entrada-Salida ............................................................................................ 49

Figura 2.26 Salida Diferencial ............................................................................................................... 50

Figura 2.27 Error de Mono tonicidad .................................................................................................... 50

Figura 2.28 Circuito Muestreo/Retención (simple-hold) .................................................................... 51

Figura 2.29 Circuito de detección para memoria SD ........................................................................ 52

Figura 2.30 Tarjetas de memoria SD, mini SD, y micro SD. ............................................................ 54

Figura 2.31 Transmisión Paralela ......................................................................................................... 54

Figura 2.32 Transmisión Serie .............................................................................................................. 55

Figura 2.33 Datos y señal de reloj de una transmisión síncrona ..................................................... 56

Figura 2.34 Transmisión asíncrona ...................................................................................................... 56

Figura 2.35 Transmisión simplex .......................................................................................................... 57

Figura 2.36 Transmisión Semi dúplex .................................................................................................. 57

Figura 2.37 Transmisión Full dúplex .................................................................................................... 57

Figura 2.38 Bus SPI ................................................................................................................................ 58

Figura 2.39 Modo A ................................................................................................................................. 59

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

9 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.40 Modo B ................................................................................................................................. 60

Figura 2.41 Modo C ................................................................................................................................ 60

Figura 2.42 Modo D ................................................................................................................................ 61

Figura 2.43 Registro de desplazamiento ............................................................................................. 61

Figura 2.44 Registro de desplazamiento Circular .............................................................................. 62

Figura 2.45 Comportamiento del registro circular .............................................................................. 62

Figura 2.46 Protocolo SPI para el PIC18F252 ................................................................................... 63

Figura 2.47 Protocolo SPI ...................................................................................................................... 64

Figura 2.48 Posibilidades de reloj ......................................................................................................... 66

Figura 2.49 Bus I2C ................................................................................................................................ 68

Figura 2.50 Secuencia de Inicio ............................................................................................................ 68

Figura 2.51 Línea de datos y línea de reloj ......................................................................................... 69

Figura 2.52 Secuencia de Parada ........................................................................................................ 69

Figura 2.53 Conector DB-25 y DB-9 .................................................................................................... 73

Figura 2.54 Conexión MAX 232 ............................................................................................................ 74

Figura 3.55 Propiedad de Invertibilidad ............................................................................................... 80

Figura 3.56 Propiedad Conmutativa ..................................................................................................... 81

Figura 3.57 Propiedad Distributiva ....................................................................................................... 81

Figura 3.58 Propiedad Asociativa ......................................................................................................... 82

Figura 3.59 Diagrama simplificado de un filtro digital ........................................................................ 92

Figura 3.60 Bandas tolerancia para un filtro pasa bajos. .................................................................. 96

Figura 4.61 Familia Pics (Rendimiento, Funcionalidad). ................................................................... 97

Figura 4.62 Gama mini. .......................................................................................................................... 98

Figura 4.63 Gama baja. .......................................................................................................................... 98

Figura 4.64 Gama Media. ...................................................................................................................... 99

Figura 4.65 Gama alta ............................................................................................................................ 99

Figura 4.66 Arquitectura Von Neumann ............................................................................................ 100

Figura 4.67 Arquitectura Harvard. ...................................................................................................... 101

Figura 4.68 Organización de la memoria Interna (RAM) en la familia PIC16C5X Memoria de

Programa. ................................................................................................................................................ 102

Figura 4.69 Organización de la memoria de Programa y Registra PcL. ...................................... 103

Figura 4.70 Registros de funciones especiales. ............................................................................... 104

Figura 4.71 dsPIC. (Controlador digital de señal). ........................................................................... 104

Figura 4.72 Nomenclatura dsPIC. ...................................................................................................... 107

Figura 4.73 Pines dsPIC33FJ12GP202. ............................................................................................ 111

Figura 4.74 Microcontroladores Texas instrument. .......................................................................... 112

Figura 5.75 Ventana del módulo ISIS ................................................................................................ 115

Figura 5.76 Ventana del módulo Ares ................................................................................................ 116

Figura 5.77 Ventana del módulo VSM ............................................................................................... 116

Figura 5.78 Componentes del módulo ISIS ...................................................................................... 117

Figura 5.79 Lista de componentes que posee Proteus. .................................................................. 118

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

10 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.80 Nuevo Proyecto (New Proyect.) .................................................................................... 119

Figura 5.81 Selección del tipo de Pic ................................................................................................. 120

Figura 5.82 Selección de la Frecuencia ............................................................................................. 120

Figura 5.83 Ruta del proyecto ............................................................................................................. 120

Figura 5.84 Nombre del Proyecto ....................................................................................................... 121

Figura 5.85 Selección de librerías ...................................................................................................... 121

Figura 5.86 Confirmación de Opciones ............................................................................................. 122

Figura 5.87 Ventana de Mikro Pro for dsPic 4.1 ............................................................................... 122

Figura 5.88 Administrador de Librería (Library Manager) .............................................................. 129

Figura 5.89 Esquema electrónico realizado en ISIS de Proteus ................................................... 129

Figura 5.90 Editar componente. .......................................................................................................... 130

Figura 5.91 Vista del panel de control................................................................................................ 131

Figura 5.92 Sumario de la Librería (Library Summary) ................................................................... 131

Figura 5.93 Ventana de reglas de diseño (Desing Rules) .............................................................. 132

Figura 5.94 Ventana de proyectos ...................................................................................................... 133

Figura 5.95 Cuadro de parámetros del comando Grid. ................................................................... 134

Figura 5.96 Ventana de búsqueda de dispositivos usando librerías. ............................................ 134

Figura 5.97 Comando Net para conexionado entre elementos. .................................................... 135

Figura 5.98 Comando Show para visualización de conexiones. ................................................... 136

Figura 5.99 Comando nombre (Name). ............................................................................................. 136

Figura 5.100 Comando valor (Value). ................................................................................................ 136

Figura 5.101 Icono Placa (Board) ....................................................................................................... 137

Figura 5.102 Creación de un archivo placa (Board) ........................................................................ 137

Figura 5.103 Colocación de los elementos dentro de la placa. ..................................................... 138

Figura 5.104 Ruteo de la placa de circuito Impreso. ....................................................................... 139

Figura 5.105 Entorno de programación de Visual Basic 6.0 .......................................................... 141

Figura 5.106 Crear un nuevo proyecto en Visual Basic 6.0 ........................................................... 142

Figura 5.107 Componentes para comunicación ............................................................................... 142

Figura 5.108 Componentes para comunicación. .............................................................................. 143

Figura 5.109 Proyecto de comunicación ........................................................................................... 143

Figura 5.110 Configuración del puerto ............................................................................................... 144

Figura 5.111 Configuración de baudios ............................................................................................. 144

Figura 5.112 Configuración por software ........................................................................................... 145

Figura 5.113 Agregar Botones. ........................................................................................................... 145

Figura 5.114 Configurar Botones. ....................................................................................................... 146

Figura 5.115 Teclado. ........................................................................................................................... 146

Figura 5.116 Instrucción para enviar un dato. .................................................................................. 147

Figura 5.117 Puesta en funcionamiento. ........................................................................................... 148

Figura 6.118 Acelerógrafo de 3 componente Longitudinal, transversal y vertical ...................... 149

Figura 6.119 Esquema de una de las componentes a Calibrar ..................................................... 149

Figura 6.120 Tornillo de ajuste a cero de Una de las componentes del Acelerógrafo ............... 150

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

11 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.121 Ejemplo del Aliasing ...................................................................................................... 150

Figura 6.122 Problema del Aliasing.................................................................................................... 151

Figura 6.123 Método para el Aliasing ................................................................................................ 151

Figura 6.124 Filtro Sallen- Key Pasabajos ........................................................................................ 152

Figura 6.125 Filtro Sallen-Key Pasaaltos .......................................................................................... 153

Figura 6.126 Filtro Sallen-Key Pasabandas ...................................................................................... 153

Figura 6.127 Curva de Frecuencia del Filtro Sallen-Key ................................................................ 154

Figura 6.128 Configuración de los comparadores del Pic16F628A .............................................. 154

Figura 6.129 Esquema para control de carga de la batería ........................................................... 155

Figura 6.130 Convertidor de voltaje Negativo .................................................................................. 155

Figura 6.131 Placa de la fuente diseñada en Eagle ........................................................................ 156

Figura 6.132 Esquema del ADC MCP3204 ...................................................................................... 156

Figura 6.133 Diagrama de bloques del Convertidor por Aproximaciones Sucesivas ................ 157

Figura 6.134 Aproximaciones Sucesivas para 3 bits ....................................................................... 157

Figura 6.135 Diagrama de bloques del MCP 3204 .......................................................................... 158

Figura 6.136 Configuración SPI usando segmentos de 8 bits ....................................................... 159

Figura 6.137 Apariencia del Glcd en el simulador Proteus ............................................................. 161

Figura 6.138 Apariencia física real del Glcd ..................................................................................... 161

Figura 6.139 Ruta de la Herramienta editor de mapa de bits (Bitmap) ........................................ 162

Figura 6.140 Editor de mapa de Bits (Bitmap Editor) ...................................................................... 163

Figura 6.141 Funcionamiento del Glcd como osciloscopio ............................................................ 163

Figura 6.142 Circuito controlador para el touch del Glcd ................................................................ 164

Figura 6.143 Placa del controlador del Touch del Glcd .................................................................. 165

Figura 6.144 Conexión del Touch con el circuito de control ........................................................... 165

Figura 6.145 Placa del Circuito para la memoria SD ...................................................................... 166

Figura 6.146 Apariencia del GPS a utilizar ....................................................................................... 167

Figura 6.147 GPS con su circuito controlador ................................................................................. 167

Figura 6.148 Coordenadas para determinación de un punto sobre el globo terráqueo ............ 167

Figura 6.149 Placa del Reloj de tiempo real ..................................................................................... 169

Figura 6.150 Placa de la Comunicación serial ................................................................................. 170

Figura 6.151 z Gráfica creada en VB6 ............................................................................................... 171

Figura 6.152 Buffer circular de N elementos .................................................................................... 172

Figura 6.153 Recolección de Datos del ADC ................................................................................... 173

Figura 6.154 Guardar datos en la memoria ...................................................................................... 173

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

12 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

ÍNDICE DE TABLAS

Tabla 1.1 Valores nominales del FBA-23 ............................................................................................ 35

Tabla 1.2 Polos y ceros .......................................................................................................................... 36

Tabla 1.3 Gama completa de aceleraciones del FBA en [𝑔]. ........................................................... 36

Tabla 1.4 Ejemplo .................................................................................................................................... 37

Tabla 2.5 Características de las memorias SD. .................................................................................. 53

Tabla 2.6 Funciones de los pines del protocolo RS232 .................................................................... 73

Tabla 2.7 Conexiones DB-25 y DB-9 .................................................................................................... 73

Tabla 3.8 Pares básicos de Transformadas de Fourier..................................................................... 83

Tabla 3.9 Propiedades de la transformada de Fourier ...................................................................... 83

Tabla 3.10 Transformada z de algunas señales básicas .................................................................. 87

Tabla 3.11 Propiedades de la Transformada z ................................................................................... 87

Tabla 4.12 Características generales de los dsPIC. ........................................................................ 105

Tabla 4.13 Características más importantes de los dsPIC33FJ .................................................... 108

Tabla 4.14 Diferencias entre dsPIC30FJ y dsPIC33FJ. .................................................................. 109

Tabla 6.15 Bits de Configuración para el MCP3204 ........................................................................ 159

Tabla 6.16 Formato de los datos RMC .............................................................................................. 168

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

13 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

14 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

15 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

16 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

17 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Glosario A ADC (Analog Digital Converter) Conversor Analógico Digital AMP Amplificador ARES (Advanced Routing Modelling) Modulo de Ruteo Avanzado C CAD (Computer Aided Design) Diseño Asistido por Ordenador D DIFF (Differential) Diferencial DMA (Direct Memory Access) Acceso Directo a Memoria DNL (Differential Nonlinearity) No-linealidad Diferencial DSC (Digital Signal Controller) Controlador Digital de Señal DSP (Digital Signal Procesor) Procesador Digital de Señal DVM (Digital Volt Meter) Voltímetro Digital F FBA (Force Balanced Acelerometer) Acelerómetro de Fuerza

Equilibrada FIR (Finite Impulse Response) Respuesta Finita al Impulso Firmware Es un programa que es grabado en una memoria ROM y establece

la lógica de más bajo nivel que controla los circuitos electrónicos de un dispositivo

FSR (Font Scale Range). Fuente de Rango de Escala G GLCD (Graphic Liquid Cristal Display) Pantalla Grafica de Cristal Liquida GPS (Global Position Sistem) Sistema de Posicionamiento Global

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

18 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

I I2C (Inter-Integrated Circuit) Circuito Inter-Integrado IIR (Infinite Impulse Response) Respuesta Infinita al Impulso INL (Integral Nonlinearity) No-linealidad Integral ISIS (Intelligent Schematic Input System) Sistema Esquemático de

entrada Inteligente L LCD (Liquid Cristal Display) Pantalla de cristal Liquido LSB (Least Significant bit) Bit Menos Significativo M MicroSD (Secure Digital) Memoria Digital Segura P PC (Personal Computer) Computadora Personal PWM (Pulse-Width Modulation) Modulación por Ancho de Pulso R RISC (Reduced Instruction Set Computer), Computador con Conjunto de

Instrucciones Reducidas RMC (Recommended Minimum Specific GNSS Data) Datos Mínimos

Específicos Recomendados ROG Región de Convergencia RTC (Real Time Clock) Reloj de Tiempo Real. RTCC (Real Time Clock/Counter) Contador de tiempo Real S SCLK (Signal Clock) Señal de Reloj SGL (Single) Modo Simple

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

19 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Sleep mode El reloj principal y la mayoría de los periféricos son apagados llevando al dispositivo a un estado de bajo consumo.

SPI (Serial Perifical Interface) Interfaz Periférica Serial SRN (Signal to Noise Ratio) Razón Señal Ruido T TQFP (Thin Quad Flat Package) Encapsulado Cuadrado Plano Delgado V VSM (Virtual Simulation Module) Módulo Virtual de Simulación

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

20 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

INTRODUCCCIÓN

La red sísmica del Austro posee un sin número de instrumentos que ayudan a detectar los fenómenos sismológicos que pueden presentarse en lugares estratégicos de la ciudad especialmente en las presas ubicadas a su alrededor, entre estos instrumentos sísmicos se tienen los acelerógrafos que pertenecen a la época de los 70s y 80s, se hace preciso entonces una actualización de los mismos, tanto de software como de hardware y de alguna manera tener un acelerógrafo de características modernas, que cumpla con los requisitos de actualidad, de ahí nace la idea de la realización de esta tesis LA IMPLEMENTACIÓN DE UN ACELERÓGRAFO DE 3 COMPONENTES BASADO EN EL FBA-23 DE KINEMETRICS CON GEOREFERENCIAMIENTO Y SISTEMA DE COMUNICACIÓN. Debido al largo período de uso y lo obsoleto de la electrónica utilizada en la construcción de los acelerómetros SSA-1 y SSA-2 una necesidad imperiosa es que se pueda potenciar los acelerógrafos utilizando tecnología actual tanto en digitalización, almacenado así como comunicación, utilizando únicamente los transductores obtenidos de esos equipos. Es así que se podría obtener una serie de ventajas con respecto a los SSA1 y SSA-2 originales pudiendo competir incluso con los ETNA a un costo sumamente inferior y de ser el caso, a futuro, se adquirirían únicamente los transductores en vez del acelerógrafo completo.

Se puede mencionar algunas de las ventajas o mejorías a alcanzar:

Aumento de la resolución del ADC.

Mejora en la capacidad de almacenamiento: Actualmente usando memorias flash prácticamente se tiene asegurado una cantidad de registros muy grande.

Integrar un sistema GPS embebido para georreferenciación sin un costo muy alto.

Interacción de usuario más amigable mediante el uso de touchscreen. Toda esta serie de ventajas hacen necesaria la implementación de un equipo que los realice, sobre todo teniendo en cuenta que actualmente existen dos equipos SSA-2 dañados y de los cuales se podría usar los transductores.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

21 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

IMPLEMENTACIÓN DE UN ACELERÓGRAFO DE 3 COMPONENTES BASADO EN EL FBA-23 DE KINEMETRICS CON GEOREFERENCIAMIENTO Y SISTEMA DE

COMUNICACIÓN.

CAPÍTULO 1

SENSORES SÍSMICOS

1. Introducción

El objetivo de una red sísmica es la detención y registro de señales (ondas sísmicas) provenientes de fuentes naturales como los sismos, erupciones volcánicas, desplazamientos de grandes masas de tierra debido a fuerzas o presiones elevadas, fuerzas como por ejemplo la ejercida por embalses de naturaleza propia de la irregularidad del terreno o artificiales como los de las presas. Hay que distinguir los dos tipos de ondas que se presentan en un evento sísmico las ondas P y las ondas S, las ondas P se producen cuando hay cambio de volumen y se propagan produciendo dilataciones o compresiones a lo largo de la dirección de propagación, en cambio las ondas S se producen cuando hay cambio de la forma del medio elástico y se propagan produciendo en el material desplazamientos perpendiculares a la dirección de propagación.

Figura 1.1 Ondas P y Ondas S

1.1 Instrumentos de medición sísmica El registro de eventos sísmicos se lleva a cabo por medio de sensores que capturan estos fenómenos, sismógrafos, acelerógrafos, geófonos etc. En este apartado se verán algunos de ellos. 1.1.1 Sismómetro de inercia estándar

Como las mediciones de los movimientos de la tierra se hacen en un sistema de referencia en movimiento, la mayoría de sensores basan su funcionamiento en la

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

22 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

inercia de una masa suspendida, que tiende a permanecer inmóvil a las perturbaciones exteriores, así el movimiento entre la masa suspendida y el suelo será una función del movimiento del suelo. La Figura 1.2, muestra un sismómetro sencillo que detecta el movimiento vertical de la tierra y consiste en una masa suspendida de un resorte. El movimiento de la masa es amortiguado por un resorte, de manera que la masa no se moverá excesivamente cerca de la frecuencia de resonancia del sistema.

Figura 1.2 Sismómetro de inercia estándar

Una regla está montada sobre la parte lateral del sismógrafo para medir el movimiento de la masa con respecto al suelo. Si el sistema está en reposo, y de repente un impulso (alta frecuencia) como el primer golpe de una onda P se produce, Intuitivamente se espera que la masa inicial siga inmóvil, mientras el suelo se mueve hacia arriba. Así, el desplazamiento de la tierra se puede obtener directamente como el desplazamiento relativo entre la masa y la tierra con la lectura de la regla. Se observa también que si el suelo se mueve hacia arriba abruptamente la masa se mueve hacia abajo en relación a

la estructura representada por la regla, esto significaría un cambio de fase de 𝜋 en la medida de los desplazamientos de la tierra. Del mismo modo, si el suelo se mueve con un movimiento sinusoidal muy rápido, se espera que la masa permanezca inmóvil y por lo tanto el movimiento del suelo sinusoidal puede medirse directamente. Cuando el suelo se mueve muy lentamente, (frecuencias bajas) la masa tendría tiempo para seguir el movimiento del suelo, en otras palabras, habría poco movimiento relativo y menos desplazamiento de fase. Así, la ganancia sería baja. Por último, Si la amortiguación es baja, (frecuencia de resonancia) la masa podría conseguir un nuevo impulso en el momento oportuno haciendo que la masa se mueva con una amplitud muy alta, por lo tanto la ganancia sería mayor que 1. Para que esto suceda, el empuje de la tierra debe ocurrir cuando la masa se encuentra en una posición extrema (superior o inferior) y debe haber un cambio de fase de -π/2, véase la Figura 1.3.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

23 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 1.3 Desplazamiento del sismógrafo en relación al desplazamiento de la tierra

El signo es negativo pues la amplitud máxima del movimiento de masas se retrasa con relación al movimiento del suelo, véase la Figura 1.3. En la Figura 1.4 se muestra una representación esquemática utilizando un sensor con una frecuencia de resonancia de 1 Hz. Se debe tener en cuenta que el cambio de fase se da en relación con el sistema de coordenadas definida en la Figura 1.1. Para sismógrafos reales, la convención es que un movimiento de tierra positiva (vertical) da una señal positiva, por lo que la escala de medición tendrá que ser invertida, de manera que para frecuencias altas y/o una entrada impulsiva, un movimiento del suelo hacia arriba, da un resultado positivo.

Figura 1.4 Respuesta de un sismógrafo mecánico

1.1.2 Transductor de velocidad

La mayoría de los sismógrafos tradicionales utilizan un transductor de velocidad para medir el movimiento de la masa (ver Figura 1.5). Se tiene una bobina móvil dentro de un campo magnético. Se logra conseguir esta situación con una bobina fija y un imán que se mueve con la masa, o un imán fijo y la bobina moviéndose con la masa. La salida de la bobina es proporcional a la velocidad de la masa en relación con el marco de referencia y por lo tanto, se lo llama a estos acelerógrafos de campos electromagnéticos transductores de velocidad: Constante del generador G. Esta constante relaciona la velocidad de la masa con la salida de la bobina. Su unidad es V/ms-1. Los valores estándares están en el rango de 30-500 V/ms-1.

Resistencia de la bobina del generador 𝑅𝑮. La resistencia de la bobina del generador (bobina de señal) en ohmios. La bobina de señal permite la amortiguación del sismómetro de una manera muy simple mediante la carga de la bobina de señal con una resistencia. Cuando la corriente es generada por la señal de la bobina, esta se opondrá al movimiento de masas con una fuerza magnética proporcional.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

24 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 1.5 Sensor electromagnético

La resistencia de la bobina es 𝑅𝐺, la resistencia de amortiguación es R y la tensión de salida es 𝑉𝑜𝑢𝑡. 1.1.3 Construcción de sensores sísmicos

El sistema de masa-resorte del sismómetro vertical, sirve como un modelo muy útil para entender los fundamentos de la sismómetria. Sin embargo, en el diseño práctico, este sistema es muy simple, ya que la masa puede moverse en todas direcciones. Por lo tanto, casi todos los sismómetros deben tener algún dispositivo mecánico que restringe el movimiento de un eje de traslación. La Figura 1.6 muestra cómo se puede hacer, en principio, para un sismómetro vertical.

Figura 1.6 Sismógrafo de masa resorte.

Un sismómetro vertical de masa-muelle, donde ha sido el movimiento horizontal limitado por una barra horizontal con bisagras. Por lo general, la bisagra es una hoja delgada y flexible, para evitar la fricción. Se puede observar que debido a la masa con bisagras, el sensor se limita a moverse verticalmente. La masa no sólo se mueve en la dirección z, sino en un movimiento circular tangente a la dirección vertical. Sin embargo, para pequeños desplazamientos, el movimiento es lo suficientemente lineal.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

25 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La disposición del péndulo anterior es, en principio, la forma más común para restringir el movimiento y también se puede utilizar para sismómetros horizontales. Los péndulos también son sensibles al movimiento angular en las ondas sísmicas, que normalmente son tan pequeñas que no tienen ninguna importancia práctica. El péndulo simple sensible al movimiento horizontal es una masa suspendida con una cadena. Para un tamaño de masa m pequeña en comparación con la longitud L de la cuerda, la frecuencia natural es:

𝑤0 = √𝑔𝐿⁄ 1.1

Donde g es la constante gravitacional. Para los pequeños movimientos de traslación de

tierra. Tenga en cuenta que 𝑤𝑜 es independiente de la masa. 1.1.3.1 El sensor de periodo corto de Wood Anderson.

Este instrumento fue creado alrededor del año 1925 y ha desempeñado un papel clave en la definición de la magnitud de la escala de Richter, es la base de todas las escalas de magnitud en la actualidad. Este instrumento de tipo péndulo consta de un cilindro de metal (Figura 1.7) unido a un cable de suspensión vertical excéntrica. El cilindro girará alrededor del alambre y un espejo, que se adjunta a la masa, se utiliza para el registro fotográfico de los desplazamientos. Este es uno de los pocos sismógrafos de desplazamiento con grabación fotográfica. El período natural fue de 0,8 segundos y ganancia nominal de 2800. Más tarde se determinó que la ganancia en realidad era sólo 2050 (Hutton y Boore, 1987), lo cual es importante, ya que la escala local de la magnitud hizo hoy referencia a la ganancia del sismógrafo de Wood Anderson.

Figura 1.7 Sensor de periodo corto de Wood Anderson.

1.1.3.2 Sensores de Larga duración.

Con el fin de obtener una alta sensibilidad a las ondas sísmicas de baja frecuencia, necesitamos una frecuencia natural baja. Con un sensor estándar de masa-resorte, donde:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

26 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

𝑤0 = √𝑔𝐿⁄

Una gran masa con un resorte blando combinados dará una frecuencia baja, pero esta disposición está limitada por las propiedades mecánicas. Para un péndulo, vemos que para obtener un período de 20s, se necesita una longitud de 100m, esto no es muy práctico. La solución es usar suspensiones astáticas, donde la fuerza de restauración es muy pequeña y, teóricamente, cualquier frecuencia natural puede ser obtenida. 1.1.3.3 Garden-Gate.

Lo más simple de la suspensión estática para sismómetros horizontales es, el péndulo “Garden-Gate” (figura 8). La masa se mueve en un plano casi horizontal alrededor de un eje casi vertical. La fuerza de recuperación es ahora g sin(α) donde α es el ángulo entre la vertical y el eje de rotación, por lo que la frecuencia natural se convierte en:

𝑤𝑜 = √𝑔 𝑠𝑒𝑛 (∝) 𝐿⁄ 1.2

Donde L es la distancia vertical de la masa hasta el punto donde el eje de rotación intercepta con la vertical por encima de la masa (ver Figura 1.8). Para obtener una frecuencia natural de 0,05 Hz, con una longitud del péndulo de 20cm se requiere una inclinación de 0,1 grados. Se trata del menor periodo que se ha obtenido en la práctica con estos instrumentos se observa que, haciendo el ángulo más pequeño el instrumento es más sensible a los cambios de pequeña inclinación.

Figura 1.8 Garden Gate.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

27 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1.1.3.4 LaCoste.

La geometría del muelle astático de sismómetros verticales fue inventada por Lacoste (1934). El principio del sensor se muestra en la figura 9. El sensor utiliza un "resorte de

longitud cero", que está diseñado de tal manera que la fuerza sea 𝐹 = 𝐾 ∗ 𝐿, donde L es la longitud total del muelle. En los sismógrafos se utilizan muelles normales para que

no se comporten como resortes de longitud cero, ya que F = k ∙ ∆l, donde ∆l es el cambio de longitud respecto a la longitud inicial del muelle. La configuración física de un "muelle de longitud cero" es la siguiente, se tiene una masa en una viga, apoyada en el muelle para que la masa esté libre y pueda girar alrededor del punto inferior izquierdo. En la Figura 1.9 se puede apreciar que la masa m está sentado en una bisagra, que tiene un ángulo α con la horizontal y suspendido por un resorte de longitud L.

Figura 1.9 LaCoste.

Este sistema puede ser construido para tener un período infinito, lo que significa que la fuerza de restauración debe ser cero en cualquier posición de la masa. Esto es, si la masa se mueve hacia arriba se disminuye la fuerza del muelle, pero resulta que la fuerza de la gravedad del movimiento de masas se reduce exactamente la cantidad, debido al cambio en el ángulo como se muestra a continuación. La fuerza de

gravedad 𝐹𝐺 actuando sobre la masa en la dirección de rotación puede escribirse como:

𝐹𝑔 = 𝑚 ∗ 𝑔 ∗ cos (𝛼) 1.3

Mientras que la fuerza de restauración del muelle FS actuando en el sentido opuesto es:

𝐹𝑠 = 𝑘 ∗ 𝐿 ∗ cos (𝜆) 1.4

Para remplazar con α, podemos utilizar la ley de los senos:

𝐿

sin (90−𝛼)=

𝑦

sin (90−𝜆) 𝑜 cos(𝜆) =

𝑦

𝐿 cos (𝛼) 1.5

Igualando F y FG y como la expresión de cos(𝜆), obtenemos

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

28 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

𝑘 ∗ 𝑦 = 𝑚 ∗ 𝑔 𝑜 𝑦 =𝑚∗𝑔

𝑘 1.6

Mientras se cumple esta condición, la fuerza restauradora es cero independiente del ángulo. En la práctica, es difícil de usar períodos de más de 30s. La suspensión de resortes de hoja astática es comparable a la suspensión de LaCoste, pero mucho más simple de hacer (Figura 1.10). El delicado equilibrio de fuerzas en suspensiones astáticas los hace sensibles a las perturbaciones externas, por lo que son difíciles de operar sin un sistema de retroalimentación estabilizadora.

Figura 1.10 Suspensiones del muelle Hoja astática.

La figura de la izquierda muestra un sismómetro vertical y la de la derecha, un sismómetro de eje oblicuo. 1.1.4 Sensor de calibración de bobina

Un gran número de sensores con transductores electromagnéticos incluyen una bobina auxiliar coaxial a la bobina de señal, lo que nos permite introducir una pequeña fuerza a la masa suspendida. Las Especificaciones del fabricante incluyen en este caso la constante K del motor de esta bobina. Para una corriente dada 𝐼(𝑡) se inyecta en la

bobina de calibración, la fuerza 𝐹𝐶 sobre la masa 𝑚 de valor 𝐹𝐶(𝑡) = 𝐾 ∗ 𝐼(𝑡) , que es equivalente al efecto de una aceleración del suelo de:

𝑢(𝑡) = −𝐾∗𝐼(𝑡)

𝑚

1.7

El signo depende de la polaridad de la conexión de la bobina. Las señales 𝐼(𝑡) más utilizadas para la calibración son las funciones escalón y sinusoidal. Si se conoce la

constante 𝐾 del motor y la masa 𝑚 de la bobina de calibración, es posible, en principio, determinar todas las otras constantes. 1.1.5 Sensores activos

Están en su mayoría construidos de acuerdo con el principio de «fuerza-equilibrio» Esto significa que la fuerza externa sobre el sensor de masa es compensada (o "equilibrado") por una fuerza electrónicamente generada en dirección contraria, tal que la masa permanece inmóvil, a si los pequeños movimientos indicaran que la masa trato de moverse. La fuerza es generada por una corriente que circula a través de una

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

29 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

bobina (similar a una bobina de calibración) por lo que la corriente necesaria para equilibrar la fuerza externa es, proporcional a la fuerza, entonces para medir la corriente, se tiene la medida proporcional a la aceleración externa y el sensor medirá directamente la aceleración. Como la masa apenas se mueve, la linealidad del sistema es bueno y como la cantidad de interpretaciones dadas pueden ser variadas, es posible tener sensores que puede trabajar con movimientos muy fuertes. La figura 1.11 muestra el diseño esquemático de un FBA.

Figura 1.11 Esquema del FBA.

1.1.6 Acelerómetros Son dispositivos que toman la medida de grandes aceleraciones, generalmente de hasta 1-2 g. Se basan en un sensor mecánico con una alta frecuencia natural para asegurarse de que la salida sea proporcional a la aceleración, y la grabación se la hace ópticamente en una película. Los acelerómetros han sido del tipo FBA por más de 30 años y han ido mejorando constantemente en el rendimiento. Inicialmente, los acelerómetros en su mayoría fueron utilizados para medir el 'movimiento fuerte' y sólo de interés para ingenieros ya que no registraba ningún movimiento débil. Ahora los FBA están disponibles con un rango dinámico de hasta 155dB y se los conoce como sensores de movimiento débil. 155dB significa que para un instrumento de 0,25g, la

menor aceleración detectable es 0.25𝑔

(10155 20⁄ )= 5 ∗ 10−9𝑔 ≈ 5 ∗ 10−8 𝑚 𝑠−2⁄ .

La fuerza terrestre G de la masa, puede ser calculado como:

𝜎 ∗𝑉

𝑅= 𝑚 ∗ 𝑎

Pero 𝑉

𝑎= 𝐺 se tiene

𝐺 = 𝑚 ∗𝑅

𝜎 1.8

Se pueden agregar amplificadores adicionales al acelerómetro para cambiar el valor de G. El generador consta de 3 componentes pasivos: la masa, que por definición, es libre de errores, la resistencia es un componente casi ideal y el transductor de fuerza que debe ser preciso, debido al movimiento tan pequeño. Así el FBA tendrá una gran exactitud. El FBA tiene una respuesta lineal a DC, y existe un alto límite de frecuencia. El FBA, por tanto, responde a los cambios estáticos en la aceleración y por ejemplo, una función rampa permanente dará una salida permanente.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

30 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1.2 Acelerómetro FBA-23 1.2.1 Introducción EL FBA 23 es un acelerógrafo triaxial optimizado que registra señales de movimientos telúricos. En el interior de la caja de aluminio a prueba de agua, se encuentran tres acelerómetros equilibrados en fuerza montados ortogonalmente uno del otro. Cada acelerógrafo produce un voltaje de salida análogo cuya escala esta entre +-2.5 V. Estas salidas corresponden a un rango de aceleración, que se encuentran estandarizados y son 4g, 2g, 1g, 0.5g, 0.25, o 0.1g. 1.2.2 Operaciones Básicas

El FBA 23 consiste en tres acelerógrafos equilibrados en fuerza dentro de una caja de aluminio. Cada uno de estos tres acelerógrafos montados ortogonalmente sobre un plato hace que el FBA sea un acelerógrafo “triaxial”, capaz de registrar los movimientos de tierra de forma simultánea en los tres ejes transversal, vertical y longitudinal. Figura 1.12

Figura 1.12 FBA-23

El parte exterior del armazón del FBA 23 contiene:

1. Una tapa de sellado para prevenir la entrada de humedad y suciedad 2. Tres tornillos de acceso (L, V y T) que cubren los tres agujeros con los se puede

ajustar los ejes Longitudinal, vertical y transversal 3. Tres patas ajustadoras 4. Un agujeró vertical para el tornillo del ancla 5. Un conector para los voltajes de salidas análogas de los acelerógrafos, la fuente

y las señales de control del FBA 23.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

31 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La fuente de alimentación del FBA 23 es de +/- 12 V 1.2.3 Ajuste Cero del FBA 23

Antes que el FBA 23 sea instalado y nivelado, la compensación debe ser 0.0 V +/- 25 mV. Encerar el FBA-23 asegura que al registrar y recibir datos, estos sean compensados cuando tengan mínimo DC, y también que al grabar se tenga un rango de escala mayor. 1.2.4 Métodos para medir la compensación DC.

Si el FBA-23 está cerca del registrador (Kinemetrics Altus recorder), se pueden encerar con el mismo, como si se tratara de un DVM (Digital Volmeter) usando el comando AQ DVM en modo rápido. Si el FBA-23 está localizado al final de un cable se tiene dos opciones: Opción 1: Remover la cubierta de la unidad y medir la compensación directamente en la placa del circuito. En este caso se conecta directamente el DVM usando un gancho en el circuito del FBA-23. Este método tiene la desventaja que se puede introducir polvo o suciedad en los sensores lo que dañaría la unidad. Este método se usa solamente en un ambiente limpio. Se procede de la siguiente manera.

1. Remover la tapa del FBA-23 sacando los 12 tornillos con un destornillador plano. Remover la tapa teniendo cuidado de no dañar el empaque.

2. Con el conector hacia arriba, haga el primer ajuste al sensor L, este es el sensor superior derecho. Conectar la punta roja (+) del DVM en el terminal de salida (etiquetado F en la placa de cableado de la tarjeta impresa) y el conector negativo al común (marcado con G) ver Figura 1.13.

Figura 1.13 Uno de los acelerógrafos dentro del FBA-223

3. A continuación ajustar el sensor V en la parte delantera junto al conector. Dejar conectado el cable negativo del DVM al sensor L. Conectar el cable positivo al

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

32 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

terminal F del sensor vertical. Este es el primer pin a la derecha del pivote de ajuste y tiene un cable blanco conectado a él.

4. Ahora ajustar el sensor T en la parte posterior derecha junto al conector. Conectar el cable positivo del DVM al terminal F del sensor. Conectar el cable negativo a la conexión común de la placa impresa.

5. Después de encerar todos los sensores desconectar el DVM y poner la tapa. Asegurarse de que la tapa esté alineada correctamente con la flecha de orientación.

Opción 2: El otro método es la construcción de un cable "break-out" que permite llegar a cada uno de los sensores desde los hilos del cable del FBA. Y poder medir todos los voltajes en este cable, este es el mejor método a utilizar. Si se tiene múltiples FBA-23s a instalar, asegúrese de que se esté proporcionando el voltaje apropiado a la entrada de 12 VDC al FBA-23. Realizar el ajuste a cero Precaución: si tiene una grabadora Altus-series, el firmware le permitirá eliminar un desplazamiento del flujo de datos, restando un valor constante a los datos después de registrarlos. Sin embargo, sólo debe utilizar esta opción para eliminar una pequeña desviación residual. Si se quita un gran desplazamiento, se reducirá el intervalo de grabación del instrumento. Para comprobar el ajuste cero de las tres componentes (longitudinal, vertical u horizontal) del acelerómetro utilice uno de los métodos descrito anteriormente midiendo el voltaje de salida cero del sensor. Si la lectura del voltaje es mayor a +25 mV o menor a -25 mV, entonces el desplazamiento (longitudinal, vertical u horizontal) del acelerómetro (L, V o H) requiere el ajuste a cero. Para ajustar el acelerómetro longitudinal

1. Quitar el tornillo de acceso L, a continuación, insertar un pequeño destornillador de punta plana en el orificio del tornillo y en el extremo ranurado del eje del acelerómetro longitudinal. Precaución: este ajuste es muy pequeño, no mayor a 1o. No se debe girar el pivote del acelerómetro más de 2o. La unidad tiene un tope mecánico que le impide girar más de una rotación al pivote. No tratar de forzar el ajuste más allá de este tope. Puede romper la unidad. Esta precaución se toma para los ajustes de los tres componentes.

2. Aplicar una suave presión con el destornillador en el pivote hasta que el voltaje este entre +25 mV y -25 mV. Si la lectura es positiva, gire el eje en sentido horario, pero no más de 1o. Si la lectura es negativo, gire el eje en sentido anti horario pero no más de 1o.

3. Una vez que la lectura de la tensión está en el rango apropiado, retire el destornillador y coloque la tapa en su lugar.

Para ajustar el acelerómetro vertical:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

33 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1. Quitar el tornillo de acceso V, a continuación, insertar un pequeño destornillador de punta plana en el orificio del tornillo y en el extremo ranurado del eje del acelerómetro vertical.

2. Con un destornillador aplicar una suave presión en el pivote hasta que el voltaje este entre +25 mV y -25 mV. Si la lectura es positiva, gire el eje en sentido horario, pero no más de 1o. Si la lectura es negativo, gire el eje en sentido anti horario pero no más de 1o.

3. Una vez que la lectura de la tensión está en el rango apropiado, retire el destornillador y coloque la tapa en su lugar.

Para ajustar el acelerómetro vertical: 1. Remueva el tornillo de acceso T, entonces se insertara un pequeño

destornillador de punta plana en el orificio del tornillo y en el extremo ranurado del eje del acelerómetro transversal.

2. Con un destornillador, aplicar una suave presión en el pivote hasta que el voltaje este entre +25 mV y -25 mV. Si la lectura es positiva, gire el eje en sentido horario, pero no más de 1o. Si la lectura es negativo, gire el eje en sentido anti horario pero no más de 1o.

3. Una vez que la lectura de la tensión está en el rango apropiado, retire el destornillador y coloque la tapa en su lugar.

Una vez que el FBA está ajustado correctamente a cero. Si ha retirado la cubierta para realizar estos ajustes, siga las instrucciones para reemplazarlo. Si ha utilizado un cable de salida, retírelo y vuelva a conectar el cable del sensor real. 1.2.5 Funciones de transferencia de los Instrumentos de Kinemetrics 1.2.5.1 Introducción

A continuación se describen las funciones de transferencia en el plano-s de la instrumentación de Kinemetrics. Su propósito es permitir que se pueda corregir la respuesta del instrumento, contiene además las funciones nominales de transferencia para la mayoría de los sensores y también los polos y ceros. Las funciones de transferencia nominales de los sensores se basan en modelos teóricos del sistema electro-mecánico o bien se determinan empíricamente en base a medidas, algunas ecuaciones de los instrumentos proporcionan parámetros de calibración y se utilizan para determinar un modelo con un mejor "ajuste" de la unidad a utilizar. Para digitalizadores analógicos con Filtros Anti-alias se proporcionan los polos y los ceros nominales para su diseño, basados en valores nominales y a temperatura ambiente. Habrá pequeñas variaciones en las condiciones reales de campo debido a tolerancias y el efecto de los cambios de temperatura en los componentes. Sin embargo, para la mayoría de las aplicaciones estos errores son insignificantes. Digitalizadores Altus utilizan el filtrado digital anti-alias para protección, que se implementa como respuesta al impulso finito (FIR). Estos filtros son idénticos en cada unidad, ya que no están sujetos a las tolerancias de los componentes.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

34 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1.2.5.2 FBA-11/FBA 23/FBA-23DH Acelerómetros de movimiento fuerte

Descripción del sistema dinámico Los acelerómetros FBA de Kinemetrics son de bucle cerrado con realimentación, se basa en la medición del desplazamiento relativo de la masa móvil con respecto a la caja del sensor (que representa el movimiento de la tierra o de la estructura). Su salida de tensión es proporcional a la aceleración de entrada en la banda de frecuencias DC a 50 Hz (opcionalmente a 100 Hz o 90 Hz para una unidad de 4 g). Las propiedades del sensor son esencialmente equivalentes a un sistema dinámico de segundo orden con

dos polos complejos conjugados (𝑝1 y 𝑝2). La función de transferencia de los sensores (TF) depende casi enteramente de los componentes electrónicos en lugar de los componentes mecánicos. La influencia sobre la función de transferencia de la masa física, la amortiguación mecánica, los elementos internos de resorte, filtro RC pasa bajos son despreciables dentro de la trayectoria del bucle cerrado del sensor para casi todas las aplicaciones. Para obtener una función de transferencia más precisa a altas frecuencias por encima de 20 Hz, se tendrá en cuenta

el polo adicional (𝑝3) de un filtro RC pasivo pasa bajos en la etapa de post-amplificado del esquema. Los sensores FBA son calibrados por el fabricante, como sistemas de segundo orden. La frecuencia nominal natural es de 50 Hz (o 100 Hz o 90 Hz para una unidad de 4 g) y la amortiguación nominal relativa es de 0,707. La frecuencia natural, el amortiguamiento relativo, y la sensibilidad del sensor en DC son ajustados por el fabricante tan cerca como sea posible a los valores nominales y se anotan en la hoja de calibración de cada sensor. Para precisar, hay que tener en cuenta los polos basados en los valores de la hoja de calibración en lugar de los valores nominales. Los sensores con sensibilidad absoluta diferente tienen los mismos polos de segundo orden. Sin embargo, el filtro pasa bajos en la etapa de post-amplificado depende en gran escala de la sensibilidad del sensor. Se puede determinar la modificación de la Función de transferencia debido a los elementos mecánicos y el filtro interno pasa bajos. Un programa de identificación del sistema o funciones es MATLAB y se pueden utilizar para este propósito. La función de transferencia nominal de segundo orden del FBA, en relación con la aceleración del

suelo y con un par de polos 𝑝1 y 𝑝2 conjugados es:

𝑉(𝑠)

𝐴(𝑠)= 𝑘2 ∗

𝜔𝑛2

𝑠2+2∗𝜁∗𝜔𝑛∗𝑠+𝜔𝑛2 =

𝑘2∗𝑘1

(𝑠−𝑝1)(𝑠−𝑝2) 1.9

𝜔𝑛 , es la frecuencia del sensor natural en rad / s. 𝑠 , es el operador de Laplace.

𝜁 , es la amortiguación relativa (adimensional).

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

35 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

𝑉(𝑠) , es la transformada de Laplace de la tensión de salida del sensor en el V. 𝐴(𝑠) , es la transformada de Laplace de la aceleración de entrada, ya sea en

𝑚/𝑠2 o en 𝑔. 𝑘2 , es la sensibilidad del sensor absoluta en DC en 𝑉𝑠2 / 𝑚 𝑉 / 𝑔 𝑝1, 𝑝2 , es par conjugado de polos

𝑘1 , es |𝑝1 ∗ 𝑝2| = 9.844 ∗ 104

Esto se puede resolver como una ecuación cuadrática para encontrar los dos polos complejos conjugados:

𝑝1 = −𝜁 ∗ 𝜔𝑛 + 𝑗 ∗ 𝜔𝑛 ∗ √1 − 𝜁2 1.10

𝑝2 = −𝜁 ∗ 𝜔𝑛 − 𝑗 ∗ 𝜔𝑛 ∗ √1 − 𝜁2 1.11

Estas ecuaciones se pueden utilizar para obtener la sensibilidad absoluta del sensor a valores por debajo de CC o, para una mejor precisión, para tomar datos de calibración de la unidad y calcular estos polos. La función de transferencia nominal del FBA relacionada con la aceleración del suelo teniendo en cuenta el filtro pasa bajos en la etapa de post amplificado es:

𝑉(𝑠)

𝐴(𝑠)=

𝑘2∗𝑘1

(𝑠−𝑝1)(𝑠−𝑝2)(𝑠−𝑝3) 1.12

𝑠 , es el operador de Laplace 𝑉(𝑠) , es la transformada de Laplace de la tensión de salida del sensor en V 𝐴(𝑠) , es la transformada de Laplace de la aceleración de entrada, ya sea en

𝑚/𝑠2 o en 𝑔. 𝑘2 , es la sensibilidad del sensor absoluta en la DC en 𝑉𝑠2 / 𝑚 𝑉 / 𝑔. 𝑝1, 𝑝2 , es el par de polos conjugados 𝑝3 , es el polo posterior del amplificador

𝑘1 , es |𝑝1 ∗ 𝑝2 ∗ 𝑝3| = 1.48 ∗ 108 1.2.5.3 La sensibilidad absoluta a DC - K2- de los acelerómetros FBA

Los sensores FBA de Kinemetrics tienen una gama completa de aceleraciones (0,25, 0,5, 1, 2, y 4 g), y voltajes de salida (+ / - 2,5 V). Esto corresponde a la siguiente sensibilidad nominal absoluta en DC.

Tabla 1.1 Valores nominales del FBA-23

Gama completa de aceleración g

Sensor de sensibilidad

absoluta en DC en 𝑽𝒔𝟐 / 𝒎

Sensor de sensibilidad absoluta en DC 𝑽 / 𝒈

0,25 0,50 1,00 2,00 4,00

1,0197 0,5099 0,2549 0,1275 0,06373

10 5

2,5 1,25 0,625

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

36 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El valor de 𝑘2 medido para cada sensor está incluido en la tarjeta de calibración, Y puede ser usado en la función de transferencia en lugar de las sensibilidades nominales dadas anteriormente.

1.2.5.4 Amortiguamiento relativo y valores de frecuencia natural.

La tarjeta de calibración para el FBA contiene la medida exacta de la frecuencia natural y los valores relativos de amortiguación de cada sensor individual. Estos valores se obtienen mediante mediciones precisas durante el proceso de calibración por el fabricante y varían ligeramente de su valor nominal de un sensor a otro. Así, para determinar más precisamente los polos, estos valores pueden ser sustituidos en las

ecuaciones de 𝑝1 y 𝑝2. 1.2.5.5 Polos y ceros de la Función de transferencia nominal de los acelerómetros FBA.

Si suponemos que la frecuencia natural del FBA es de 50, 90 o 100 Hz, y la relación de amortiguamiento es, exactamente, 0,707 se obtienen los siguientes valores nominales de los polos en la representación de segundo orden para la aceleración constante de entrada: Tabla 1.2 Polos y ceros

Frecuencia Natural en Hz

Polo 𝒑𝟏 Polo 𝒑𝟐

Componente real rad/s

Componente imaginaria rad/s

Componente real rad/s

Componente imaginaria rad/s

50 -222.1 +222.1 -222.1 -222.1

90 -399.8 +399.8 -399.8 -399.8

100 -444.2 +444.2 -444.2 -444.2

Se puede obtener una mejora adicional en la precisión del modelo considerando el polo

𝑝3 debido al filtro RC pasa bajos a la entrada del amplificador. Este polo es dependiente de la frecuencia natural y tiene una amplia gama de aceleración.

Tabla 1.3 Gama completa de aceleraciones del FBA en [𝑔].

Frecuencia natural del FBA

en Hz

Rango completo de aceleraciones del FBA

en g

Pole 𝒑𝟑

Componente real en rad/s

Componente imaginaria en

rad/s

50, 100 0.25,0.5,1 -1000 0

50, 90 2,4 -1500 0

Ahora, como un ejemplo completo de la Función de Transferencia, a 50 Hz, y a 1g el FBA tendría los polos nominales siguientes:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

37 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Tabla 1.4 Ejemplo

Frecuencia natural

del FBA en Hz Polo

𝒑𝟏 Polo

𝒑𝟐 Polo

𝒑𝟑

Componente real rad/s 50

-222.1 -222.1 -1000

Componente Imaginaria rad/s 222.1 -222.1 0

1.3 Ruido sísmico Cuando se registran señales sísmicas estas siempre contienen ruido y es importante ser consciente de la fuente del ruido y la forma de medirlo. El ruido puede tener dos orígenes: El ruido generado por la instrumentación y el ruido de las vibraciones sísmicas de la tierra. Normalmente, el ruido del instrumento está muy por debajo del ruido sísmico aunque la mayoría de los sensores tendrán una banda de frecuencias donde el ruido instrumental es dominante (un acelerómetro en las frecuencias bajas es un claro ejemplo de esta situación). Así que de ahora en adelante, se asume que el ruido provendrá del suelo. 1.3.1 Observación de ruido

Todos los sismogramas muestran algún tipo de ruido cuando hay ganancia y en la mayoría de lugares en el mundo sucede igual, el ruido armónico (conocido como ruido micro sísmico) que se encuentra en la banda de los 0,1 a 1,0Hz se observa en el sismograma. En la Figura 1.14, se observa también que, aunque el ruido micro sísmico domina también hay ruido sísmico significativo en otras bandas de frecuencia. Así que, el nivel de ruido debe ser especificado diferentes frecuencias.

Figura 1.14 Ruido sísmico en diferentes bandas del filtro en MOL en la Red Sísmica de

Noruega

El uso de filtrado y las mediciones en el dominio del tiempo presenta dos problemas:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

38 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1. El ancho de banda utilizado es una elección arbitraria, 2. Obtención de valores medios obtenidos durante largos intervalos de tiempo.

Ambos problemas se resuelven mediante la presentación del ruido en el dominio espectral. 1.3.2 Espectros del ruido

Con los datos digitales, es posible hacer el análisis espectral, y por lo tanto es fácil obtener el nivel de ruido en todas las frecuencias en una sola operación. Se ha convertido en la convención para representar los espectros de ruido como el espectro de

potencia de ruido de densidad de aceleración 𝑃𝑎(𝑤) en unidades de dB comúnmente 1 (m/s2)2 Hz, El nivel de ruido se calcula como.

𝑁𝑖𝑣𝑒𝑙 𝑑𝑒 𝑟𝑢𝑖𝑑𝑜 = 10 log [𝑃𝑎(𝑤)

(𝑚 𝑠2⁄ )2𝐻𝑧] 1.13

1.3.3 Relaciones del espectro de potencia y mediciones de amplitud

Las curvas de ruido de Peterson y la manera de representarlos han estandarizado la forma de observar el ruido sísmico. Sin embargo, mirando una curva, es difícil relacionarlos con algo físico sin embargo las curvas de Peterson sirven para relacionar la curva de ruido y la curva del sismógrafo pese que la una está en el dominio de la frecuencia, y la otra en el dominio del tiempo, bajo ciertas condiciones es posible pasar

de un dominio a otro. La raíz cuadrada media de la amplitud 𝑎𝑅𝑀𝑆, de una señal en el

intervalo de tiempo 0 a 𝑇, se define como:

𝑎𝑅𝑀𝑆2 =

1

𝑇∫ 𝑎(𝑡)2𝑑𝑡

𝑇

0 1.14

La potencia media de la señal en el intervalo de tiempo es entonces igual a aRMS. La potencia media también se puede calcular (Por el Teorema de Parseval) del espectro de densidad de potencia.

𝑎𝑅𝑀𝑆2 = ∫ 𝑃(𝑤)

𝑓2

𝑓1𝑑𝑓 ≈ 𝑃 ∗ (𝑓2 − 𝑓1) 1.15

Figura 1.15 Curvas de ruido de Peterson.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

39 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Bajo el supuesto de que el espectro de potencia es casi una constante de P en el rango

de frecuencia de 𝑓1 a 𝑓2, lo que no es razonable si el filtro es estrecho. En el caso general, P representaría el valor promedio de 𝑃(𝑤) en esta banda de frecuencias.

Es importante que 𝑃(𝑤) sea la densidad espectral de potencia normalizada y que la potencia represente a la contribución total de ω de ambas frecuencias positivas y negativas. Como es práctica habitual, el espectro de potencia se calcula utilizando solamente las frecuencias positivas como se define en el estándar de análisis espectral

compleja, a2RMS tienen que ser calculado como 2 ∗ 𝑃(𝑓2 − 𝑓1 ). Los valores de energía

dados por Peterson (1993) ya contienen este factor, es decir, representan la potencia total. Bajo estas consideraciones, entonces se tiene una relación entre la densidad espectral de potencia y la amplitud RMS dentro de una banda estrecha de frecuencia:

𝑎𝑅𝑀𝑆 = √𝑃 ∗ (𝑓2 − 𝑓1) 1.16

Con el fin de obtener la verdadera amplitud pico promedio en el sismograma, se puede utilizar un factor de 1.25.). Ahora podemos establecer la relación entre los valores espectrales de potencia y la amplitud de las crestas promedio:

𝑎 = 1.25 ∗ 𝑎𝑅𝑀𝑆 = 1.25 ∗ √𝑃 ∗ (𝑓2 − 𝑓1) 1.17

Peterson (1993) demostró que las amplitudes de ruido de banda ancha y período largo ambas siguen de cerca una distribución de probabilidad gaussiana. La banda de frecuencia depende de los instrumentos (sobre todo si son analógicos), mientras que para los datos digitales el usuario puede seleccionar el filtro. Una forma común de

especificar bandas del filtro es utilizar el término octava. Una 𝑛 − 𝑜𝑐𝑡𝑎𝑣𝑜 de octava tiene límites 𝑓1 y 𝑓2 de tal manera que:

𝑓2

𝑓1= 2𝑛 1.18

Muchos de los sismógrafos analógicos clásicos tienen anchos de banda de 1.3 octavas y los sismógrafos digitales pueden tener un ancho de banda de 6.12 octavas. Sin embargo, el ancho de banda de la señal de muchos componentes dominantes del ruido sísmico del suelo puede ser inferior a 1 octavo. Por lo tanto, la frecuencia de medición es en realidad una gama de frecuencias. Sin embargo, por razones prácticas, la frecuencia media se utiliza para representar la medida. Para la frecuencia media, se

utiliza la frecuencia central 𝑓0.

𝑓0 = √𝑓1 ∗ 𝑓1 = √𝑓1 ∗ 𝑓1 ∗ 2𝑛 = 𝑓1 ∗ 2𝑛

2 1.19 Y

𝑓1 = 𝑓0 ∗ 2−𝑛

2 𝑦 𝑓2 = 𝑓0 ∗ 2𝑛

2 1.20

Para los filtros estrechos, la frecuencia central es casi la misma que la frecuencia media. La unidad más común para un sismograma es la velocidad, aceleración y

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

40 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

desplazamiento. Si el espectro de potencia de aceleración, velocidad y desplazamiento

se llaman 𝑃𝑎, 𝑃𝑣, y 𝑃𝑑, respectivamente, las relaciones entre unidades son:

𝑃𝑉(𝑤) = 𝑃𝑑(𝑤) ∗ 𝑤2 1.21

𝑃𝑎(𝑤) = 𝑃𝑣(𝑤) ∗ 𝑤2 = 𝑃𝑑(𝑤) ∗ 𝑤4 1.22

La manera más objetiva de ver el nivel de ruido en un determinado lugar es utilizando el espectro de densidad de potencia. Se debe tener en cuenta que un desplazamiento máximo de 1nm a 1Hz significa que es bueno en términos de ruido ambiental. Un punto a destacar es que el ruido aleatorio de la naturaleza (cualquier perturbación "previsible" no es ruido tal como se define aquí). El espectro de energía estimado por la simple transformada de Fourier de una muestra de datos es al azar. El error estándar de esta estimación es muy alto. En realidad, cuando queremos expresar el nivel de ruido como la densidad o el espectro de potencia RMS en una banda de frecuencia determinada, estamos asumiendo implícitamente que el ruido es un proceso estacionario, lo que significa que sus características estadísticas no dependen del tiempo o por lo menos varia lo suficientemente lento como para ser considerado constante dentro de un intervalo de tiempo determinado. Por lo tanto, si una estimación fiable del nivel de ruido, en un sitio determinado, se necesita un promedio de estimación de la densidad de potencia en varios tiempos de la muestra o algún suavizado espectral disminuirá la estimación. 1.3.4 Origen del ruido sísmico 1.3.4.1 Ruido originado por el hombre. Denominado también ruido "cultural", se origina por el tráfico y las maquinarias, tiene altas frecuencias mayores a 2Hz a 4Hz y desaparecen con bastante rapidez (m a km), cuando se aleja de la fuente de ruido. Se propaga principalmente en forma de ondas de superficie de alta frecuencia, que atenúan rápidamente con la distancia y disminuyen fuertemente en la amplitud con la profundidad, por lo que puede llegar a ser casi insignificante en pozos, cuevas profundas o en túneles. Este tipo de ruido es muy diferente en el día que en la noche y pueden tener frecuencias características dependiendo de la fuente de la perturbación, el nivel de este ruido puede ser demasiado alto. 1.3.4.2 El ruido del viento. El viento hará que cualquier objeto se mueva por lo que siempre va a generar ruido de fondo. Este ruido es generalmente de alta frecuencia. Los árboles también transmitir las vibraciones del viento a la tierra y por lo tanto las estaciones sísmicas deben instalarse lejos de estos. En general, las turbulencias del viento alrededor de las irregularidades topografía, tales como rocas o escarpes generan ruido local y su proximidad debe ser evitada.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

41 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

1.3.4.3 Ruido generado por los océanos Este es el ruido más extendido (microsismos o ruido micro sísmicos) a nivel mundial, aunque en el interior de los continentes tiene menos ruido que en las regiones costeras. Periodos largos de microsismos en el océano se generan sólo en aguas poco profundas en las regiones costeras, donde la energía de las olas se convierte directamente en energía sísmica a través de las variaciones de presión vertical, o rompiendo olas en las costas. Por lo tanto, tienen el mismo período que las ondas del

agua (𝑇 ≈ 10 𝑎 15 𝑠) , períodos más cortos se generan por la superposición de ondas de mar de igual período que viajan en direcciones opuestas, lo que genera ondas de gravedad de mitad del período. Estas ondas estacionarias pueden causar perturbaciones que se propagan sin atenuación al fondo del océano. Los microsismos de mayor frecuencia tienen mayor amplitud que los microsismos de baja frecuencia. Durante las grandes tormentas, las amplitudes pueden llegar a 20000nm en las estaciones cercanas a la costa y hacen que los sismogramas analógicos sean inútiles. 1.3.4.4 Ruidos de periodo corto

Estos ruidos presentan altas frecuencias con rangos que se encuentran entre 0.3 a 10 Hz, y en general, son producidos por la respuesta de las condiciones geológicas de las estructuras sobre las cuales se encuentra ubicada la estación sísmica en referencia y este tipo de ruido tiene que ver con la actividad diaria del hombre y los cambios bruscos de temperatura durante el día y la noche. También se debe considerar como ruidos de periodo corto a los producidos por las explosiones en las minas, el paso de camiones en las carreteras, o por la inducción del viento sobre la estación sísmica con rangos de frecuencia mayores a 10 Hz. Este tipo de ruido suele ser importante si en las cercanías de la estación hay vegetación o montañas. Para evitar al máximo las perturbaciones de periodo corto sobre los sensores, es recomendable acondicionarlos en pozos aislantes sobre roca dura y a una relativa profundidad. 1.3.4.5 Ruidos de periodo largo

Este tipo de ruido se caracteriza por presentar bajas amplitudes y largos periodos (10-300 s) y se presentan con mayor amplitud en las componentes horizontales del registro. Las causas de origen de este tipo de ruido son: Los causados por las fluctuaciones barométricas en la zona donde se encuentra la estación sísmica, y son producidas por los cambios gravitaciones inducidos por frentes atmosféricos fríos y de calor que perturban directamente a los sismómetros. Las ondas largas con frecuencias típicas que varían entre 0.001 a 0.05 Hz, juegan un rol importante en muchos procesos sedimentarios de la costa y en aguas poco profundas, donde se ha demostrado que la energía del espectro de ondas tiene predominio de ondas de periodo largo. Para la banda de frecuencias sobre los 2 mHz, están presentes las eigenfrecuencias de muchas de las oscilaciones libres de la Tierra. Para modos más graves (0.8 mHz), raramente observados con una buena relación señal/ruido (SNR).

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

42 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Para reducir este tipo de ruido y facilitar los estudios sobre el contenido de periodos largos en los registros de eventos sísmicos de moderado tamaño, se requiere simultáneamente registrar las variaciones de la presión barométrica cerca del sismómetro y luego sustraer con una función apropiada su interferencia en la señal. En general, se considera que los ruidos de periodo largo son debidos a la acción de las ondas oceánicas sobre las costas con frecuencias de 0.07 Hz y las ondas oceánicas estacionarias con frecuencias del orden de 0.14 Hz.

CAPÍTULO 2

DIGITALIZACIÓN 2. Introducción La digitalización de una señal significa convertir una señal analógica a una señal digital, para que esta sea procesada por circuitos digitales o pics, a diferencia de la señal analógica esta señal no pierde su calidad con múltiples transmisiones, reproducciones o procesamientos.

Figura 2.16 Digitalización

El proceso de digitalización consiste de tres pasos fundamentales muestreo, cuantificación y codificación.

Muestreo.- es la conversión de una señal en tiempo continuo a una señal en tiempo discreto obtenida tomando muestras de la señal en tiempo continuo en instantes de tiempo discreto. Así 𝑥𝑎(𝑡) es la entrada al muestreador, la salida es 𝑥𝑎(𝑛𝑇) ≡ 𝑥(𝑛), donde T se denomina el intervalo de muestreo. Se debe tener en cuenta el teorema de Nyquist para el muestreo que dice “Si una señal continua en el tiempo se muestrea a intervalos regulares, a un ritmo de al menos dos veces el valor del ancho de banda de dicha señal, las muestras obtenidas contienen toda la información digital”. Cuantificación.- es la conversión de una señal en tiempo discreto con valores continuos, a una señal en tiempo discreto con valores discretos (señal digital). El valor de cada muestra de la señal se representa mediante un valor seleccionado de un conjunto finito de valores posibles. La diferencia entre la muestra sin cuantificar 𝑥(𝑛) y la salida cuantificada 𝑥𝑞(𝑛) se denomina error de cuantificación.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

43 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Codificación.- En este proceso, cada valor discreto 𝑥𝑞(𝑛) se representa mediante una

secuencia binaria de b bits.

Muestreador Cuantificador Codificador

X(n) Xq(n) 1001….

Xa(t)

Figura 2.17 Diagrama de bloques de la Digitalización

2.1 Conversión analógica a digital La conversión analógica-digital, consiste básicamente en realizar de forma periódica medidas de la amplitud de la señal de entrada y traducirlas a lenguaje numérico. La conversión A/D también es conocida por sus siglas en inglés ADC (Analogic to Digital Converter).

Señal Analógica

Señal en tiempo discreto

Señal Cuantificada

SeñalDigital

Muestreador Cuantificador CodificadorXa(t) X(n) Xq(n) 1001…

.

Conversor Analogico/Digital

Figura 2.18 Conversor Analógico Digital

2.1.1 Comparación entre la señal analógica y digital

Una señal analógica es aquélla que puede tomar una infinidad de valores (frecuencia y amplitud) dentro de un límite (superior e inferior). En cambio, una señal digital es aquélla cuyas dimensiones (tiempo y amplitud) no son continuas sino discretas, lo que significa que la señal necesariamente ha de tomar unos determinados valores fijos definidos en tiempos también discretos. Estos valores fijos se toman del sistema binario, lo que significa que la señal va a quedar convertida en una combinación de ceros y unos, que ya no se parece en nada a la señal original. 2.1.1.1 Ventajas de la señal digital

Ante la atenuación o perturbaciones leves la señal digital puede ser amplificada

y al mismo tiempo reconstruida por medio de sistemas de regeneración de señales.

Cuenta con sistemas de detección y corrección de errores que se utilizan cuando la señal llega al receptor, entonces comprueban la señal (uso de

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

44 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

redundancia), para detectar algún error, y luego para corregir alguno o todos los errores detectados previamente.

Facilidad para el procesamiento de la señal. Cualquier operación es fácilmente realizable a través de cualquier software de edición o procesamiento de señales.

Es posible aplicar técnicas de compresión de datos sin pérdidas o técnicas de compresión con pérdidas basados en la codificación perceptual mucho más eficientes que con señales analógicas.

La señal digital permite la multigeneración infinita sin pérdidas de calidad.

2.1.1.2 Inconvenientes de la señal digital

La señal digital requiere mayor ancho de banda para ser transmitida que la

analógica. Se necesita una conversión analógica-digital previa y una decodificación

posterior, en el momento de la recepción. La transmisión de señales digital requiere una sincronización precisa entre los

tiempos del reloj del transmisor, con respecto a los del receptor. Un desfase cambia la señal recibida con respecto a la que fue transmitida.

Se hace necesario emplear siempre un filtro activo analógico pasa bajo sobre la señal a muestrear con objeto de evitar el fenómeno conocido como aliasing, que podría hacer que componentes de frecuencia fuera de la banda de interés quedaran registrados como componentes falsos de frecuencia dentro de la banda de interés.

2.1.2 Tipos de convertidores analógicos – digitales

A continuación se muestran la clasificación de los convertidores analógicos digitales y una descripción de los más utilizados.

Figura 2.19 Tipos de Convertidores Analógicos Digitales

2.1.2.1 ADC Realimentados en escalera

Se basa en la comparación de la señal analógica de entrada con una señal de rampa definida con precisión. El esquema se muestra en la Figura 2.5.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

45 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Se comienza activando un pulso de inicio en la lógica de control, con esta acción el contador se inicializará en cero, entregando en sus salidas el código binario del cero digital. La secuencia pasa directamente como entrada paralelo al DAC que responde con 0 [V] a la salida. Esta señal es usada como entrada de referencia a un comparador, el cual compara la magnitud de la señal analógica de entrada con el valor entregado por el conversor. Del valor que proporcione el comparador dependerá que el contador continúe contando o bien, se detenga, pues si el comparador entrega un “1”, entonces el reloj continuará alimentando al comparador. De lo contrario si entrega un “0”, el contador se detendrá.

Figura 2.20 Convertidor Escalera

La lógica del comparador es, si la señal de entrada es mayor que la referencia, entonces el comparador responderá con un “1” y se incrementa la cuenta en 1 digital, y así sucesivamente, sólo la cuenta se detendrá cuando la respuesta del DAC sea mayor que la entrada de la señal analógica. En este caso, el reloj se detendrá y se tendrá la salida digital del valor de cuenta anterior. 2.1.2.2 ADC Realimentados por Aproximaciones Sucesivas

Es una técnica de conversión más efectiva que la anterior. Se utiliza ampliamente debido a su combinación de alta resolución y velocidad. El esquema es prácticamente el mismo, difieren en que el contador dentro del registro no es un contador secuencial de uno en uno, sino un contador programable que se incrementa o decrementa de acuerdo a la influencia del bit de mayor peso. De esta manera no es necesario contar

con 2𝑛 veces como lo hacia el contador tipo rampa, ahora la cuenta máxima solo es de

𝑛 veces. El esquema de la Figura 2.6, muestra este convertidor.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

46 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.21 Convertidor por Aproximaciones Sucesivas

El SAR (ADC Realimentados por Aproximaciones Sucesivas pone el bit MSB en “1” y todos los restantes en “0”. La cantidad es tomada por el DAC de tal manera que su equivalente analógico se compara con la señal de entrada. Si la salida del DAC es mayor que la entrada, se elimina el “1” del bit MSB y se pone a “1” el bit inmediatamente anterior, con todos los demás bit en “0”, y así sucesivamente hasta que se logre encontrar una secuencia análoga pero que resulta ser menor que la entrada de la señal, cuando ocurra esto, el bit mantendrá su valor y se pone a “1” el bit inmediatamente anterior. El procedimiento anterior se repite hasta terminarse de probar “1” en cada bit del contador. Lo anterior equivale a un tanteo digital, a medida que se avanza, el procedimiento se va estabilizando hasta llegar un valor estable y que corresponderá con el valor de la medición. El ADC de aproximaciones sucesivas es de los más utilizados, es posible encontrar modelos capaces de suministrar 16 bits en la salida y realizar la conversión en un tiempo de unas decenas de microsegundos. Los modelos de 12 y 8 bits, son los más comunes y ofrecen una elevada velocidad. 2.1.2.3 ADC Integradores de Doble Rampa

Son muy precisos (ver Figura. 2.7), y al mismo tiempo, sólo pueden aplicarse a señales cuyo nivel oscile de forma muy lenta (un valor típico de velocidad de muestreo es de 10 muestras por segundo). Este dispositivo consiste en un integrador basado en un amplificador operacional.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

47 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.22 Convertidor de Doble Rampa

Para dos entradas, la señal analógica que se va a digitalizar y una señal de referencia de valor constante. Un interruptor se encarga de que una de las dos esté conectada en todo momento al amplificador integrador. Otro interruptor se halla en paralelo con el condensador, el que permite la intervención que éste o no. El resultado de la actuación coordinada de ambos interruptores es que en la salida se obtenga una señal de doble rampa. Una de subida (la carga del condensador con la tensión analógica en la entrada) y la de bajada (con la tensión de referencia a la entrada). El cálculo de la señal digitalizada se fundamenta en la relación entre los tiempos de subida y bajada, de acuerdo con la ecuación.

𝑡𝑠

𝑡𝑚=

𝑉𝑟𝑒𝑓

𝑉𝑎 2.1

Donde 𝑡𝑠 , es el tiempo de subida o de muestreo y 𝑡𝑚 el de bajada o de medida, 𝑉𝑟𝑒𝑓 es

la tensión de referencia y 𝑉𝑎 es la tensión analógica. Los tiempos de muestreo y de medida son detectados por un contador que se encuentra a la salida del integrador y dependen de la resistencia, el condensador y la tensión de entrada. Dada sus especiales características, los ADC de doble rampa se utilizan, por ejemplo, en los voltímetros digitales, por su exactitud e inmunidad al ruido. Pueden alcanzar una resolución de hasta 18 o 20 bits. 2.1.2.4 ADC Integradores de Tensión Frecuencia

En este tipo de conversores, el voltaje continuo de entrada se convierte en un conjunto de pulsos cuya frecuencia es proporcional a la magnitud del voltaje de alimentación. Los pulsos se cuentan mediante un contador electrónico, durante un intervalo de tiempo específico y la cuenta resultante se exhibe como una representación digital del voltaje. El esquema es el que se muestra en la figura 2.8. Cuando se aplica un voltaje de entrada el integrador genera un voltaje de salida de rampa con una pendiente proporcional al voltaje aplicado. Esta rampa se aplica a un generador monoestable el cual genera un pulso de amplitud definido por el voltaje de entrada rampa. El pulso es

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

48 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

realimentado a un conmutador que descarga el condensador integrador, terminando así la rampa.

Figura 2.23 Convertidor de Tensión Frecuencia

Su utilización es adecuada en ambientes ruidosos, por su alta inmunidad al ruido y exactitud. Las frecuencias típicas del convertidor voltaje frecuencia son entre 10 KHz a 1 MHz. 2.1.2.5 ADC Paralelo (Flash)

Los conversores de tipo flash o conversión directa, parten de una concepción radicalmente opuesta: la velocidad es el objetivo básico de esta arquitectura. Dos señales participan en la etapa de entrada, la propia señal analógica que se debe convertir y una señal de referencia. En la configuración básica, la señal analógica se aplica a las puertas no inversoras de un cierto número de amplificadores operacionales que, utilizados como comparadores, están dispuestos en paralelo a la entrada de un decodificador (ver figura). A la entrada inversora de cada comparador se aplica la tensión de referencia, que a su vez ataca una red de resistencia de valor idéntico, y dispuestas en serie. El resultado es la diferencia de tensión entre dos comparadores sucesivos es de 1 LSB. La complejidad de la arquitectura flash se deriva precisamente del elevado número de comparadores necesarios a medida que aumenta el número de

bits que se desea obtener a la salida. El número de éstos es 2𝑛−, donde 𝑛 es el número de bits de salida, no es de extrañar que los conversores de tipo flash ven limitada su resolución por su elevada integración.

Figura 2.24 Convertidor en Paralelo (Flash)

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

49 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El resultado es que no existe ningún convertidor flash que ofrezca una resolución de 16 bits, y que más allá no son prácticos teniendo en cuenta el tamaño del chip, el correcto funcionamiento de los comparadores e incluso el precio. Este tipo de conversor por razón de velocidad es ampliamente usado en el campo de las telecomunicaciones, los instrumentos de medida y, en general, el tratamiento de señales rápidas como la de vídeo. 2.1.3 Características de los convertidores

Las características de los convertidores son: 2.1.3.1 Características Estáticas Resolución:

Se expresa en unidades de tensión, y depende del escalón tomado como referencia

con respecto a los niveles de tensión dado por el número de bit, por ejemplo, con 𝑛 bit, habrá 2𝑛 niveles de tensión. Corresponde al valor del bit menos significativo (LSB).

𝑒𝑠𝑐𝑎𝑙𝑎 𝑐𝑜𝑚𝑝𝑙𝑒𝑡𝑎 ∗ 𝑟𝑒𝑠𝑜𝑙𝑢𝑐𝑖ó𝑛 =𝑒𝑠𝑐𝑎𝑙𝑎 𝑐𝑜𝑚𝑝𝑙𝑒𝑡𝑎

2𝑛 2.2

La linealidad integral y el de linealidad diferencial:

Si se analiza la gráfica de transferencia entrada-salida en el caso ideal, el resultado es una línea recta formada por los puntos de transición de los valores de entrada que determinan cambios de nivel en la salida. Mientras más se ajuste el comportamiento real a esta recta, más preciso se considera al convertidor (ver Fig. 2.10).

Figura 2.25 Curva Lineal Entrada-Salida

La máxima desviación entre la gráfica real y la recta ideal se define como linealidad

integral, y se expresa en LSB. Como valor típico de linealidad integral se tiene ± 0.5 LSB, con lo que el conversor debe garantizar para todas las condiciones de trabajo

este valor. Si difiere en más de 0.5 LSB (tanto por encima como por debajo), se corre el riesgo de que identifique un valor con una combinación de bit que no le corresponde, y proporcionando de este modo un resultado erróneo. La linealidad diferencial corresponde a la desviación máxima a partir de la amplitud ideal (1 LSB), y se expresa utilizando las mismas unidades que la linealidad integral.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

50 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.26 Salida Diferencial

Mono tonicidad:

Un conversor es mono tónico cuando un incremento de tensión en la entrada le corresponda un incremento en la salida, y para una disminución de la entrada, el correspondiente descenso. Si un convertidor no es mono tónico, el resultado es la pérdida del código. Si para una determinada combinación de bit no hay un aumento en función de un incremento de la entrada, sino un descenso, se identificará el valor analógico con el código que viene a continuación lo que provoca la no mono tonicidad.

Figura 2.27 Error de Mono tonicidad

El error de ganancia, el error de Desplazamiento (offset) y el error de cuantificación:

En términos generales corresponden a la comparación y diferencia máxima entre la curva de transferencia ideal y la real en todo el margen de medidas. El error de ganancia es un parámetro que muestra la precisión de la función de transferencia del convertidor respecto a la ideal y se expresa en LSB (% FSR- font scale range). Velocidad:

En algunas aplicaciones, es necesario disponer de un convertidor capaz de tratar señales de elevada frecuencia. Siempre es importante disponer de una velocidad de muestreo que garantice la conversión de forma correcta, teniendo en cuenta el teorema de muestreo, según el cual la frecuencia de muestreo debe ser, como mínimo el doble que el ancho de banda de la señal muestreada para que sea posible su digitalización. Como es lógico, la rapidez del conversor depende también del número de bits a la salida. El código resultante se ve influido también, por el nivel de ruido que genera la conversión. Es interesante saber que la relación señal/ruido sea lo más elevada posible. La generación de señales falsas o adulteradas también influye negativamente sobre el funcionamiento del convertidor.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

51 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

2.1.3.2 Características Dinámicas Tiempos de conversión:

Es el tiempo desde que se aplica la señal a convertir hasta que la señal (análoga o digital) está disponible en la salida. Esto se determina de acuerdo a la ecuación:

𝑡𝑐 =1

𝑓∗𝜋∗2𝑛+1 2.3

Tiempo de adquisición:

Es el tiempo durante el cual el sistema de muestreo y retención debe permanecer en estado de muestreo, para asegurarse que el consiguiente estado retención este dentro de la banda de error especificada para la señal de entrada. Tiempo de asentamiento:

Es el intervalo de tiempo entre la señal de retención y el definitivo asentamiento de la señal (dentro de la banda de error especificada). Slew rate:

Es la velocidad a la cual el valor de la salida del Muestreo & Retención converge al valor muestreado deseado. El proceso de conversión análoga-digital requiere que la señal análoga de entrada permanezca en un valor constante de tal forma que el ADC pueda realizar su tarea en forma adecuada. Aparece aquí, un elemento llamado sample (muestreo) & hold (Retención), que toma una muestra de la señal seleccionada y mantiene su valor durante el tiempo que dura la conversión análoga - digital ó T&H (track & hold), que se limita a detectar puntualmente el nivel de la señal analógica. El circuito básico S&H es el que se muestra en la Fig. 2.13.

Figura 2.28 Circuito Muestreo/Retención (simple-hold)

2.2 Sistemas de almacenamiento 2.2.1 Tarjeta digital segura (SD)

Es una memoria flash que cumple con las especificaciones de seguridad, capacidad y desempeño requeridos en la actualidad (usadas principalmente en dispositivos de audio y video entre otros). La arquitectura está basada en los circuitos de memoria flash de tipo NAND (EEPROM). Físicamente las SD son un poco más gruesas que las MMC (Multi Media Card), su tasa de transferencia de datos es más alta, y muy importante: disponen de una pestaña lateral que a modo de interruptor permite evitar las sobreescrituras accidentales.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

52 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La comunicación con la memoria SD se la realiza a través de una interfaz de 9 pines que soporta dos modalidades de bus el bus SD y el bus SPI. El bus SD consta de: una señal de reloj, 4 señales de datos, una señal de comando y 3 señales de alimentación, mientras que el bus SPI consta de: 2 señales de alimentación, una señal de reloj, 2 señales de datos y una señal de control. La estructura interna de una memoria SD agrupa los datos en bloques de 512 bytes, por lo tanto toda transmisión ya sea de escritura o lectura está sujeta a este tamaño de bloque. Para garantizar la comunicación entre el micro y la memoria SD, es necesario que el diseño del hardware cumpla con la mayoría de las siguientes especificaciones:

Disponer de 3.3 [V], 5 [V] y GND común. La alimentación de la memoria SD debe ser controlada por el micro. Se debe proporcionar un bus SPI entre en micro y la memoria. El micro debe detectar la presencia o ausencia de la memoria. Se debe utilizar dos leds indicadores de lectura/escritura y error. Se debe proporcionar seguridad que garantice la compatibilidad del hardware.

En resumen: una tarjeta SD es un circuito integrado (IC) que se almacena en una carcasa de plástico compacta y resistente. Las tarjetas SD están diseñadas para almacenar datos y permitir la transferencia de estos entre dispositivos equipados con ranuras (slot cards) específicas para tarjetas SD. 2.2.3 Socket SD

El socket SD debe proporcionar una carcasa metálica para la inserción de la tarjeta SD y acceso a los 9 pines de la misma. Adicionalmente debe proporcionar la capacidad de detectar eléctricamente si existe una tarjeta inserta en el socket o no. Para detectar la presencia de la tarjeta en el socket basta incorporar un switch de contacto entre la carcasa y una señal denominada CD (card detect). La señal para la carcasa se denomina COM (común), el circuito de detección se muestra a continuación:

Figura 2.29 Circuito de detección para memoria SD

Se puede observar en la figura como la carcasa esta acoplada a tierra, designada como GND, la señal CD tiene una resistencia de pull-up. Cuando no hay una tarjeta insertada el switch está abierto y la señal CD esta en 1 lógico, en cambio al insertar una tarjeta el switch se cierra completando así el circuito entre VDD y tierra por medio del COM y el CD, por lo que la señal CD estará en 0 lógico. La señal CD se conecta a un puerto digital del micro configurado como entrada, por lo que del lado del micro

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

53 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

controlador la memoria SD vera una alta impedancia validando así el diseño del circuito detector. 2.2.4 Tarjetas Secure Digital (mini SD, micro SD)

La tarjeta Secure Digital, presentada a finales de 2001, es una segunda generación y un derivado de la tarjeta estándar MultiMediaCard (MMC). Tabla 2.5 Características de las memorias SD.

Tarjeta mini

SD Tarjeta SD

Tarjeta micro SD

Ancho 20mm 32mm 15mm

Largo 21,5mm 32mm 11mm

Grosor 1,4mm 2,1mm 1mm

Volumen de la tarjeta 589mm3 1,596 mm3 165 mm3

Peso 1 g aprox. 2 g aprox. <1 g aprox.

Voltaje de funcionamiento 2,7-3,6 2,7-3,6V 2,7-3,6 V

Interruptor de protección contra escritura No Si No

Numero de pines 11 pines 9 pines 8 pines

El formato Secure Digital incluye varios avances tecnológicos importantes sobre MMC. Estos incluyen la adición de protección de seguridad criptográfica para datos. La SD Card Association establece las especificaciones para las tarjetas Secure Digital. Mini SD (SDM) y microSD (SDC) son los factores de forma de plataforma móvil de la tarjeta SD para su uso en teléfonos celulares y otros dispositivos portátiles. Mini SD y micro SD son una fracción del tamaño de una tarjeta SD estándar y, cuando se usan con el adaptador pueden ser usadas en ranuras para dispositivos SD estándar (por ejemplo, en lectores Flash de medios). MiniSD es un formato de tarjeta de memoria flash. La tarjeta mini SD fue adoptada en 2003 por la Asociación SD como una extensión de tamaño ultra-pequeño para el estándar de tarjeta SD. Dado que las nuevas tarjetas se diseñaron especialmente para ser usadas en teléfonos móviles, están envueltas por un adaptador mini SD que permite la compatibilidad con todos los dispositivos equipados con una ranura de tarjeta SD. También tiene interface SPI. MicroSD (Micro Secure Digital / Transflash) Formato de tarjeta de memoria flash más pequeña que la Mini SD, desarrollada por SanDisk; adoptada por la Asociación de Tarjetas SD (SD Card Association) bajo el nombre de microSD en Julio de 2005. Esto es tres y media veces más pequeña que la mini SD, que era hasta ahora el formato más pequeño de tarjetas SD, y es alrededor de un décimo del volumen de una SD card. También tienen interface SPI

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

54 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.30 Tarjetas de memoria SD, mini SD, y micro SD.

2.3 Sistemas de comunicación 2.3.4 Concepto

La comunicación se define como un proceso en el que intervienen un emisor y un

receptor, en un ambiente determinado (físico o virtual) a través del cual se logra la transmisión e intercambio de información o datos, comprensible entre las partes. Para que exista comunicación debe existir un emisor, un receptor, un mensaje y un canal a través del cual se enviará el mensaje. Si alguno de estos elementos falla, se dice que se ha producido una interferencia y no podrá establecerse la comunicación. 2.3.3 Tipos de comunicaciones

Los tipos de comunicación se dividen según el tipo de transmisión que se realice, es decir comunicación por transmisión serie (síncrona, asíncrona, dúplex, half dúplex, full dúplex, SPI, I2C, RS232, etc.) paralela (síncrona, asíncrona, Bus local de microprocesadores, Eurocard, VME, bus ISA, bus PCI, etc.). A continuación se desarrollan los conceptos más importantes de los tipos de transmisión serie centrándose en los protocolos SPI, I2C y RS232 utilizados en esta tesis. 2.3.3.1 Transmisión paralela. Los datos se envían agrupados en n bits al mismo tiempo en lugar de uno solo. Se

usan 𝑛 hilos para 𝑛 bits.

Figura 2.31 Transmisión Paralela

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

55 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La transmisión paralela tiene algunas características especiales entre las cuales están:

Aparentemente más rápido Resulta más efectivo a distancias cortas Los datos a transmitir no necesitan pre tratamiento A largas distancias resulta más costoso por la mayor disposición a generar

errores.

2.3.3.2 Transmisión serie. En este tipo de transmisión los bits se transmiten uno detrás del otro sobre una misma línea.

Figura 2.32 Transmisión Serie

Este tipo de transmisión se utiliza a medida que la distancia entre los equipos aumenta a pesar que es más lenta que la transmisión paralelo y además menos costosa. Los transmisores y receptores de datos serie son más complejos debido a la dificultad en transmitir y recibir señales a través de cables largos. Algunas de las características de este tipo de transmisión son:

Menos costoso (menor número de hilos). Menor disposición de errores Se necesita un protocolo de transmisión (serializar los datos)

2.3.3.3 Transmisión síncrona. Necesita de dos líneas, una de datos sobre la que se van a representar los distintos estados de los bits a transmitir y una de reloj donde se indica cuando está disponible cada bit en la línea de datos. Esta línea de reloj es la de "sincronización" entre el emisor y el receptor de la transmisión. De esta forma, una transmisión síncrona consiste en poner el estado de un bit en la línea de datos, generar un pulso de subida y uno de bajada en la línea del reloj, poner otro estado de bit en los datos, dar un pulso de subida y bajada otra vez en la del reloj y así hasta completar el número de bits que se desea transmitir. Esta forma de transmisión tiene una clara ventaja, y es que no es necesario ponerse de acuerdo en la velocidad en el emisor y receptor de la transmisión. El emisor coloca su bit y genera el pulso en el reloj, el receptor detecta el reloj y mira el estado del bit, y así uno tras otro, a cualquier velocidad. Si hay pulso significa que hay dato, lee y espera otro pulso, más lento o más rápido es irrelevante solo es importante aquello de pulso-

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

56 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

dato y a empezar de nuevo. La única limitación es que al receptor se le debe dar tiempo a que lea el estado de cada bit tras detectar el pulso de reloj antes de que aparezca un nuevo pulso.

Figura 2.33 Datos y señal de reloj de una transmisión síncrona

2.3.3.4 Transmisión asíncrona. Es aquella en la que los bits de datos de un carácter se transfieren de manera independiente en el tiempo con respecto a otro carácter, precedidos de un bit de arranque y un bit de paro. De tal manera que para esta técnica cada carácter consta de tres partes: un bit de inicio, bits de caracteres y un bit de paro. El bit de inicio siempre es cero y se utiliza para anunciar que comienza un carácter, mientras que el bit de paro siempre es 1, valor que se mantiene por al menos el tiempo correspondiente a un bit para indicar que ha culminado el carácter enviado. La unidad receptora puede detectar un carácter a través del conocimiento de las siguientes reglas:

Una línea desocupada siempre se encuentra en estado uno. El inicio de la transmisión puede detectarse a partir del bit de inicio que es

siempre cero. Los bits que le prosiguen le corresponden a los bits del carácter. Para señalar la culminación de la transmisión se utiliza un bit de alto, el cual

consiste en una línea que se mantiene en estado uno por más tiempo de lo predeterminado para un bit.

De tal manera el reloj interno del receptor, que conoce la velocidad de transmisión de los bits examina la línea de tiempos y puede reconocer el inicio y fin de una trama. El tiempo del bit de paro puede ser el concerniente a uno o dos bits, que es el tiempo que necesitarán las unidades para sincronizarse de nuevo.

Figura 2.34 Transmisión asíncrona

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

57 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

2.3.3.5 Transmisión simplex. Esta transmisión se realiza en un solo sentido y por un solo canal.

Tx Rx

Transmisor Receptor

Simplex

Figura 2.35 Transmisión simplex

2.3.3.6 Transmisión semi dúplex.

Esta transmisión se realiza en ambos sentidos, pero no simultáneamente y en un solo canal.

Tx/Rx Tx/Rx

Transmisor/ Receptor Transmisor/Receptor

Semi Duplex

Figura 2.36 Transmisión Semi dúplex

2.3.3.7 Transmisión full dúplex. Esta transmisión se realiza en ambos sentidos simultáneamente y por dos canales.

Tx/Rx Tx/Rx

Transmisor/ Receptor

Full Duplex

Figura 2.37 Transmisión Full dúplex

2.3.3.8 Protocolo SPI (Serial Periferical Interface)

Es un bus de tres líneas, sobre el cual se transmiten paquetes de información de 8 bits. Cada una de estas tres líneas lleva la información entre los diferentes dispositivos conectados al bus. Cada dispositivo conectado al bus puede actuar como transmisor y receptor al mismo tiempo, siendo esta una comunicación serial full duplex. Dos líneas trasfieren los datos (una en cada dirección) y la tercer línea es la del reloj. Algunos dispositivos solo pueden ser transmisores y otros solo receptores es decir, un dispositivo que tramite datos también los puede recibir. Una memoria EEPROM es un claro ejemplo de esta comunicación ya que puede enviar o recibir información. Los dispositivos conectados a este bus suelen denominarlos maestros o esclavos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

58 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Un maestro.- es aquel que inicia la transferencia de información sobre el bus y genera las señales de reloj y control. Un esclavo.- es un dispositivo controlado por el maestro. Cada esclavo es controlado sobre el bus a través de una línea selectora llamada Chip Select o Select Slave, por lo tanto, el esclavo es activado solo cuando esta línea es seleccionada. Generalmente cada esclavo tiene su línea selectora. En un tiempo determinado T1, solo podrá existir un maestro sobre el bus. Cualquier dispositivo esclavo que no esté seleccionado, debe ser deshabilitado (ponerlo en alta impedancia) a través de la línea selectora

(𝑐ℎ𝑖𝑝 𝑠𝑒𝑙𝑒𝑐𝑡). Para transmitir la información, el bus SPI usa un simple registro de desplazamiento. Características del Bus

Todas las líneas del bus transmiten la información en una sola dirección. La señal sobre la línea de reloj (SCLK) es generada por el maestro y sincroniza la transferencia

de datos. La línea MOSI (𝑀𝑎𝑠𝑡𝑒𝑟 𝑂𝑢𝑡 𝑆𝑙𝑎𝑣𝑒 𝐼𝑛) transporta los datos del maestro hacia

el esclavo. La línea MISO (𝑀𝑎𝑠𝑡𝑒𝑟 𝐼𝑛 𝑆𝑙𝑎𝑣𝑒 𝑂𝑢𝑡) transporta los datos del esclavo hacia el maestro.

SCLK

MOSI

MISO

SS

SCLK

MOSI

MISO

SCLK

MOSI

MISO

SS

SS

SCLK

MOSI

MISOSS1

SS2

SS3

SPI

ESCLAVO

MAESTRO

ESCLAVO

ESCLAVO

SPI

SPI

SPI

Figura 2.38 Bus SPI

Cada esclavo es seleccionado por un nivel lógico bajo (‘0’) a través de la línea (CS = Chip Select o SS Slave Select ). Los datos sobre este bus pueden ser transmitidos a una

razón de casi cero bits /segundo hasta 1 Mbits/ segundo. Estos datos son transferidos en bloques de 8 bits, en donde el bit más significativo (MSB) se transmite primero. Modos del Reloj

Toda la transferencia de datos, es sincronizada por la línea de reloj de este bus. Un BIT es transferido por cada ciclo de reloj. La mayoría de las interfaces SPI tienen 2 bits de

configuración, llamados CPOL (Clock Polarity = Polaridad de Reloj) y CPHA

(Clock Phase = Reloj de Fase). CPOL determina si el estado Idle de la línea de reloj esta en bajo (CPOL = 0) o si se encuentra en un estado alto (CPOL = 1). CPHA determina en que flanco de reloj (bajada o subida) los datos son desplazados hacia dentro o hacia

fuera. (Si CPHA = 0 los datos sobre la línea MOSI son detectados cada flanco de bajada y los datos sobre la línea MISO son detectados cada flanco de subida). Cada BIT tiene 2 estados, lo que significa que existen 4 diferentes combinaciones diferentes

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

59 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

he incompatibles. Por lo que si dos dispositivos SPI desean comunicarse entre sí, estos deben tener la misma Polaridad de Reloj (CPOL) y la misma Fase de Reloj (CPHA). En el protocolo SPI se definen cuatro modos:

Modo A Modo B Modo C Modo D

Estos determinan el valor de la polaridad del reloj (CPOL = Clock Polarity) y el bit de fase del reloj (CPHA = Clock Phase). La mayoría de los dispositivos SPI pueden soportar al menos 2 modos de los 4 anteriores. A continuación se ilustran los diferentes modos. El BIT de Polaridad del reloj determina el nivel del estado de Idle del reloj y el BIT de Fase de reloj determina que flanco recibe un nuevo dato sobre el bus. El modo requerido para una determinada aplicación, está dado por el dispositivo esclavo. La capacidad de multi-modo combinada con un simple registro de desplazamiento hace que el bus SPI sea muy versátil. Polaridad del reloj (𝐂𝐏𝐎𝐋 = 𝐂𝐥𝐨𝐜𝐤 𝐏𝐨𝐥𝐚𝐫𝐢𝐭𝐲).

Si CPOL está en un 0 lógico y ningún dato está siendo transferido (Estado Idle), el maestro mantiene la línea SCLK en bajo. En cambio si CPOL está en un 1 lógico, el maestro desocupa la línea SCLK en alto. Fase Del Reloj (CPHA)

CPHA, conjuntamente con CPOL, controlan cuando los nuevos datos son colocados en el bus. Si CPHA es igual a un ‘1’ lógico, los datos son desplazados sobre la línea MOSI según lo determinado por el valor de CPOL. Modo A

Para CPHA = 1: Si CPOL = 1, los nuevos datos se colocados sobre la línea cuando el flanco del reloj es descendente y se leen cuando el flanco del reloj es ascendente.

Figura 2.39 Modo A Modo B

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

60 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Si CPOL = 0, los nuevos datos se ponen en la línea cuando el flanco del reloj es ascendente y se leen cuando el reloj tiene un flanco descendente.

Figura 2.40 Modo B Modo C

Si CPHA = 0, el reloj de cambio es la OR de SCLK con la terminal Chip Select. Tan pronto como el terminal Chip Select se coloca en un nivel lógico 0, los nuevos datos se ponen en la línea y en el primer flanco del reloj se leen los datos. Si CPOL se activa a un nivel lógico ‘1’, el primer flanco de reloj baja y los bits de datos subsecuentes se leen en cada flanco de bajada sobre la línea de reloj. Cada nuevo bit se pone en la línea cuando el reloj tiene un flanco de subida del Reloj.

Figura 2.41 Modo C Modo D

Si CPOL es cero, el primer flanco de bajada del reloj y los bits de datos subsecuentes se leen en cada flanco de subida del reloj. Cada nuevo bit se coloca en la línea cuando el flanco del reloj baja.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

61 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.42 Modo D

Resumiendo, Si CPHA = 1, la transferencia (datos válidos leídos por el receptor)

comienza en el segundo flanco de reloj. Si por el contrario CPHA = 0, la transferencia comienza en el primer flanco de reloj. Todas las transferencias subsecuentes dentro del byte ocurren en cada flanco de reloj. Comunicación SPI serie para la familia PIC 18

La familia PIC18 posee varias tipos de comunicaciones serie, además del puerto USART, estos disponen de un puerto SSP (Serial Synchronous Port), que sirve para las comunicaciones síncronas serie SPI y I2C. Ambos protocolos de comunicación son excluyentes, es decir, si se configura el periférico para SPI no podrá usarse para I2C y si por el contrario sucede que el periférico se configura como I2C ya no se podrá usarse como SPI. A continuación se explicara el protocolo SPI (Serial Protocol Interface), describiendo los registros SFR involucrados y detallando los procedimientos para la transmisión y recepción de datos. Lo ilustraremos con un ejemplo muy sencillo de comunicación con un periférico SPI, un conversor DAC (MCP4822 de Microchip). Para ello recordaremos las propiedades de un registro de desplazamiento Fig. 2.28.

Paralelo Entrada

Paralelo Salida

Reloj

Serie Entrada Serie Salida

Figura 2.43 Registro de desplazamiento

Con cada pulso de reloj en el registro de desplazamiento, un nuevo bit entra en el registro, desplaza a todos los bits una posición y el último bit sale del registro. La base de las conversiones paralelo/serie y serie/paralelo son los registros de desplazamiento.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

62 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

En el protocolo de comunicación SPI tendremos solamente un registró SSP SR (accesible a través de un buffer SSPBUF), para lo cual se considerara un registro de desplazamiento circular, donde la salida del registro se usa como entrada del mismo:

Paralelo Entrada

Paralelo Salida

Reloj

Serie Entrada Serie Salida

Figura 2.44 Registro de desplazamiento Circular

Supongamos que nuestro registro tiene 16 bits y al ser circular, el bit que entra “empujando” a los demás es justo el que acaba de salir por el otro lado. El registro se parte en dos cada uno de 8 bits, pero conjuntamente forman un único registro de 16 bits. Ver Figura 2.30.

Maestro SPI Esclavo SPISDO SDI

SCK SCK

SDI SDO

Clock

Figura 2.45 Comportamiento del registro circular

Esto es la base de una comunicación SPI entre dos dispositivos. Cada una de las partes del registro circular es el registro SSPSR de cada dispositivo y ambos comparten el reloj. Lo que se tiene es que si en el SSPSR1 hay un dato A y en el SSPSR2 un dato B, tras 8 pulsos de reloj, los datos A y B se habrán intercambiado entre los dispositivos. En el protocolo SPI no hay en realidad transmisiones ni recepciones, solo intercambios de datos A y B, pues para cada dato enviado debe haber siempre uno recibido y también depende de las circunstancias y el cómo se interprete una transferencia SPI.

Es una transmisión si el primer dispositivo manda el dato A al segundo dispositivo, mientras que el dato B recibido es desechado (no se puede "evitar" recibir dicho dato).

Es una recepción si el dato A enviado es irrelevante y es enviado solo para obtener a cambio el dato B (no hay forma de recibir nada si no se mandó algo "a cambio").

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

63 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Sera una transmisión/recepción simultánea si tanto el dato A como el B son significativos para la comunicación. Por ejemplo en un procesamiento digital de señal en el que se recibe una serie de muestras de una señal se efectúa algún tipo de procesamiento sobre ella. Tras un cierto retraso, inherente al procesado, este empezará a mandar muestras de vuelta. Es decir, por cada muestra de la señal original que mande, el huésped recibirá una muestra procesada en una comunicación full-duplex.

Finalmente, hay situaciones donde los datos intercambiados no son de importancia para ningún dispositivo. Por ejemplo, en las especificaciones del protocolo SPI de las tarjetas SD se requiere mandar 8 pulsos de reloj tras un intercambio comando/respuesta para que la tarjeta pase a ejecutar el comando recibido. En ese caso, el microcontrolador y la tarjeta se intercambiarán un byte que a ninguno de los dos interesa solo para que le lleguen los 8 pulsos de reloj necesarios a la tarjeta.

La única asimetría entre ambos dispositivos es que uno de ellos debe generar los pulsos de reloj que hacen “avanzar” el registro de desplazamiento. Dicho dispositivo (a la izquierda en la gráfica anterior) es el master y será quien controle la transmisión. En la figura siguiente (obtenida del datasheet de Microchip para el PIC18F252) se ilustra lo que se acaba de redactar. Como se puede apreciar es totalmente análoga a la figura anterior, añadiendo el hecho de que el usuario (al igual que sucedía en el caso del UART) no puede acceder al verdadero registro de desplazamiento SSPSR, trabajando en su lugar con un buffer SSPBUF.

Figura 2.46 Protocolo SPI para el PIC18F252

La línea de SDO (master) a SDI (esclavo) también se suele etiquetar MOSI (Maestro Out Esclavo In). Igualmente la línea que conecta SDO (esclavo) con SDI (Maestro) es denominada MISO (Maestro In Esclavo Out):

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

64 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Maestro Esclavo

SCLKMOSI

MISO

SS

Figura 2.47 Protocolo SPI Comunicaciones SPI en el PIC:

Ahora se detallara como implementar el protocolo SPI cuando se va a trabajar sobre un PIC. Como todo periférico del PIC su configuración y manejo están controlado por una serie de registros SFR (Special Function Registers). Para el puerto SPI dichos registros SFRs son: SSPCON1, SSPSTAT y SSPBUF Los dos primeros son registros de configuración, mientras que el tercer es donde se pondrán los datos a transmitir y de donde se recogerán los datos recibidos. Ahora se describirá las opciones posibles en la configuración del puerto SPI del PIC, que se determinan con una serie de bits en los registros SSPCON1 y SSPSTAT. Elección Slave/Master

Lo primero es decidir si el PIC será el maestro o un esclavo en la comunicación. El contenido de los 4 bits menos significativos del SSPCON1 determinan esta elección. Las opciones son las siguientes: Opciones modo master: 0011 implica que clock = TMR2/2 0010 implica que clock = Fosc/64 0001 implica que clock = Fosc/16 0000 implica que clock = Fosc/4 Opciones en modo slave: 0101 implica que No se usa SS 0100 implica que Se usa SS Se observa que el primer bit (SSPCON1.SSPM3) es siempre 0 para ambos modos (esto sucede porque al estar compartido el puerto SSP, estos cuatro bits también son usados para la configuración del modo I2C). El segundo bit (SSPCON1.SSPM2) determina si el dispositivo es maestro (0) o esclavo (1). En modo maestro los dos últimos bits (SSPCON1.SSPM1 y SSPCON.SSPM0) determinan las cuatro posibles frecuencias del reloj. Por ejemplo, con un cristal de 20 MHz podríamos tener un master con un reloj de 5MHz (0000), o 1.25MHz (0001) y 312KHz (0010). La opción del TMR2/2 (0011) nos permite programar otras frecuencias a través del TMR2.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

65 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Al tomar el modo esclavo, los bits restantes determinan si usaremos o no el pin dedicado para SS (Selector de Esclavo). En la familia PIC18F4520, dicho pin es el RA4. Si el valor es 01 no se usará SS y RA4 podrá usarse como un pin normal. Si el valor es 00 se habilita RA4 como pin de control SS. Se recomienda hacer SSPSTAT.SMP=0 aunque no es necesario ya que ese es su valor por defecto. Se debe recordar que cuando se trabaja en modo Maestro se debe configurar el modo SPI en el que se va a trabajar Modos SPI (Maestro): relación reloj/datos

Aunque se tenga establecida la frecuencia del reloj, todavía hay varias opciones para la configuración del maestro, referidas a la polaridad de la señal de reloj, la fase entre dicha señal y los datos de entrada/salida. Los bits que determinan estos aspectos son: SSPCON1.CKP (Clock polarity) SSPSTAT.CKE (Clock Edge) SSPSTAT.SMP (Sample bit) El primero (CKP) define la polaridad de la señal de reloj (IDDLE_LEVEL, nivel alto o bajo cuando el puerto este inactivo), el segundo bit (CKE) especifica la fase de los datos de salida con respecto al reloj y por último, el tercer bit (SMP) determina el momento en que se muestrean los datos de entrada. El parámetro más sencillo es la polaridad del reloj (SSPCON1.CKP) que se suele denotar como CPOL (Clock Polarity). Si es 0 indica que el reloj está en nivel bajo mientras no se manda nada. Si es 1 el IDDLE_STATE del reloj estará en un nivel alto (1). El segundo parámetro (SSPSTAT.CKE) determina la fase de los datos de salida con respecto al reloj. También conocido como CPHA (Clock Phase), aunque su definición es inversa de CKE. Esto es, CPHA = 1-CKE. CPOL y CPHA determinan lo que se conoce como el modo SPI. Generalmente se expresa como un par de número. Así, el modo SPI (0,1) indica que debemos hacer CPOL=0 y CPHA=1, es decir. SSPCON1.CKP = CPOL = 0 SSPSTAT.CKE = (1-CPHA) = 0 Donde CPHA determina el momento en el que los datos de salida están estables y en el cual se deberían muestrear por el otro dispositivo, pero no se ha explicado cuál es su relación ni que significa un valor de 0 o 1. Veamos la siguiente figura (datasheet de Microchip), ilustrando las posibilidades del reloj y su relación con los datos de entrada/salida:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

66 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 2.48 Posibilidades de reloj

Las cuatro primeras trazas muestran las cuatro posibilidades de reloj y la traza SDO muestra la posición de los datos de salida. Las líneas de color café indican el momento en que los datos deben ser muestreados. La interpretación de CPOL=CKP es inmediata. Si CKP=0 esto indica un estado de reposo bajo (color azul) y si CKP=1 indica un estado de reposo alto “antes y después de enviar datos” (color rojo). Se puede apreciar en la gráfica que si CKE=0 (CPHA=1) el centro del bit de salida corresponde a las segundas transiciones del reloj, y si CKE=1 (CPHA=0) el centro del bit está alineado con la primera transición del reloj. Esta interpretación no es muy intuitiva. A veces se prefiere describir el protocolo en términos de si los bits estarán estables con las subidas o bajadas de reloj. Es decir si escogemos CKE=1 se sabrá que el dato está listo en la primera transición de reloj, pero dicha transición puede ser de subida (traza 3) o de bajada (traza 4), dependiendo de la polaridad del (CPOL). Para ello se define el parámetro Low2High, (L2H=1 si el bit está listo en las subidas y L2H=0 si está disponible en las bajadas) y determinar CKE como:

CKE = L2H xor CKP

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

67 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Finalmente SPSSTAT.SMP determina el momento de muestreo de los datos entrantes, de la siguiente forma: SMP=0 los bits de entrada están alineados con el centro del periodo de reloj SMP=1 los bits de entrada están disponibles al final del periodo de reloj. Una vez establecidas las opciones solo queda habilitar el puerto SSP (con SSPCON1.SSPEN=1) y establecer las correspondientes direcciones de los pines involucrados (a través del correspondiente registro TRIS). En la mayoría de los PICs los pines asociados a las líneas SCL, SDI, SD0 son respectivamente RC3, RC4 y RC5, el pin RC4 (SDI) deberá ser configurado como entrada. Implementación de la comunicación SPI

Las funciones de un compilador respecto al módulo SPI se dividen en rutinas de inicialización (que afectarán a SSPCON1 y SSPSTAT) y rutinas de transferencia de datos (básicamente poner/sacar datos de SSPBUF). Así en el compilador de MikroC Pro encontramos las siguientes funciones básicas: INICIALIZACION: SPI_Init_advance, TRANSFERENCIA: SPI_read, SPI_write. La primera inicializa y configuran el puerto SSP en modo SPI. Los parámetros que se pasan a SPI_Init_advance son bastante descriptivos y corresponden a las opciones explicadas anteriormente. Por ejemplo: _SPI_CLK_IDLE_HIGH,_SPI_CLK_IDLE_LOW Polaridad de reloj (CKP=CPOL) _SPI_DATA_SAMPLE_MIDDLE,_SPI_DATA_SAMPLE_END Muestreo de datos entrada SMP=0/1 _SPI_LOW_2_HIGH,_SPI_LOW_2_HIGH Transición datos transmitidos (L2H=1/0) _SPI_MASTER_OSC_DIV4, DIV16, DIV64, TMR2 Configuracion como maestro y elección de reloj _SPI_SLAVE_SS_ENABLE,_SPI_SLAVE_SS_DIS Configuracion como esclavo con y sin SS pin

Se debe notar que MikroC Pro usa la convención de especificar la fase reloj/datosTX a través de L2H (especificar si están disponibles en las subidas/bajadas de reloj) y no directamente a través de CKE o CPHA=1-CKE. 2.3.3.9 Protocolo I2C

El bus I2C.- es un estándar que facilita la comunicación entre micro controladores, memorias y un sin número de dispositivos con cierto nivel de "inteligencia", requiere únicamente de dos líneas de señal y un común (GND). Fue diseñado a este efecto por Philips y permite el intercambio de información entre muchos dispositivos a una

velocidad aceptable, de unos 100 Kbits/s, aunque hay casos especiales en los que el reloj llega hasta los 3,4 MHz. La metodología de comunicación de datos del bus I2C es en serie y sincrónica. Una de las señales del bus marca el tiempo (pulsos de reloj) y la otra se utiliza para intercambiar datos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

68 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Descripción de las señales

SCL (System Clock) es la línea de los pulsos de reloj, que sincroniza el sistema. SDA (System Data) es la línea por la que se mueven los datos entre los dispositivos. GND

(Masa) común de la interconexión entre todos los dispositivos "enganchados" al bus. Las líneas SDA y SCL son del tipo drenaje abierto, es decir, un estado similar al de colector abierto, pero asociadas a un transistor de efecto de campo (o FET). Se deben polarizar en estado alto (conectando a la alimentación por medio de resistores "pull-up") lo que define una estructura de bus que permite conectar en paralelo múltiples entradas y salidas.

Figura 2.49 Bus I2C El diagrama es suficientemente auto explicativo. Las dos líneas del bus están en un nivel lógico alto cuando están inactivos. En principio, el número de dispositivos que se puede conectar al bus no tiene límites, aunque hay que observar que la capacidad máxima sumada de todos los dispositivos no supere los 400 pF. El valor de los resistores de polarización no es muy crítico, y puede ir desde 1.8 K (1.800 Ω) a 47K (47.000 Ω). Un valor menor de resistencia incrementa el consumo de los integrados pero disminuye la sensibilidad al ruido y mejora el tiempo de los flancos de subida y bajada de las señales. Los valores más comunes en uso son entre 1.8K y 10K. Protocolo I2C.- Habiendo varios dispositivos conectados al bus, es lógico que para establecer una comunicación a través de este se deba respetar un protocolo. Se debe conocer que existen dispositivos maestros y dispositivos esclavos. Sólo los dispositivos maestros pueden iniciar una comunicación. La condición inicial, de bus libre, es cuando ambas señales están en estado lógico alto. En este estado cualquier dispositivo

maestro puede ocuparlo, estableciendo la condición de inicio (start). Esta condición se presenta cuando un dispositivo maestro pone en estado bajo la línea de datos (SDA), pero dejando en alto la línea de reloj (SCL).

Figura 2.50 Secuencia de Inicio

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

69 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El primer byte que se transmite luego de la condición de inicio contiene siete bits que componen la dirección del dispositivo que se desea seleccionar, y un octavo bit que corresponde a la operación que se quiere realizar con él (lectura o escritura). Si el dispositivo cuya dirección corresponde a la que se indica en los siete bits (A0-A6) está presente en el bus, éste contesta con un bit en bajo, ubicado inmediatamente luego del octavo bit que ha enviado el dispositivo maestro. Este bit de reconocimiento (ACK) en bajo le indica al dispositivo maestro que el esclavo reconoce la solicitud y está en condiciones de comunicarse. Aquí la comunicación se establece en firme y comienza el intercambio de información entre los dispositivos.

Figura 2.51 Línea de datos y línea de reloj

Si el bit de lectura/escritura (R/W) fue puesto en esta comunicación a nivel lógico bajo (escritura), el dispositivo maestro envía datos al dispositivo esclavo. Esto se mantiene mientras continúe recibiendo señales de reconocimiento, y el contacto concluye cuando se hayan transmitido todos los datos. En el caso contrario, cuando el bit de lectura/escritura está a nivel lógico alto (lectura), el dispositivo maestro genera pulsos de reloj para que el dispositivo esclavo pueda enviar los datos. Luego de cada byte recibido el dispositivo maestro (quien está recibiendo los datos) genera un pulso de reconocimiento. El dispositivo maestro puede dejar libre el bus generando una condición de parada (o detención; stop en inglés). Si se desea seguir transmitiendo, el dispositivo maestro puede generar otra condición de inicio en lugar de una condición de parada. Esta nueva condición de inicio se denomina "inicio reiterado" y se puede emplear para direccionar un dispositivo esclavo diferente o para alterar el estado del bit de lectura/escritura.

Figura 2.52 Secuencia de Parada

Conceptos claves:

Maestro (Master): Dispositivo que determina los tiempos y la dirección del tráfico en el bus. Es el único que aplica los pulsos de reloj en la línea SCL. Cuando se conectan varios dispositivos maestros a un mismo bus la configuración obtenida se denomina "multi-maestro". Esclavo (Slave): Todo dispositivo conectado al bus que no tiene la capacidad de generar pulsos de reloj. Los dispositivos esclavos reciben señales de comando y de reloj generados desde el maestro.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

70 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Bus libre (Bus Free): Estado en el que ambas líneas (SDA y SCL) están inactivas, presentando un estado lógico alto. Es el único momento en que un dispositivo maestro puede comenzar a hacer uso del bus. Comienzo (Start): Se produce cuando un dispositivo maestro ocupa el bus, generando la condición. La línea de datos (SDA) toma un estado bajo mientras que la línea de reloj (SCL) permanece alta. Parada (Stop): Un dispositivo maestro puede generar esta condición, dejando libre el bus. La línea de datos y la de reloj toman un estado lógico alto. Dato válido (Valid Data): Situación presente cuando un dato presente en la línea SDA es estable al tiempo que la línea SCL está a nivel lógico alto. Formato de Datos (Data Format): La transmisión de un dato a través de este bus consiste de 8 bits de dato (1 byte). A cada byte transmitido al bus le sigue un noveno pulso de reloj durante el cual el dispositivo receptor del byte debe generar un pulso de reconocimiento. Reconocimiento (Acknowledge): El pulso de reconocimiento, conocido como ACK (del inglés Acknowledge), se logra colocando la línea de datos a un nivel lógico bajo durante el transcurso del noveno pulso de reloj. Dirección (Address): Todo dispositivo diseñado para funcionar en este bus posee su propia y única dirección de acceso, preestablecida por el fabricante. Hay dispositivos que permiten definir externamente parte de la dirección de acceso, lo que habilita que se pueda conectar en un mismo bus un conjunto de dispositivos del mismo tipo, sin problemas de identificación. La dirección 00 es la denominada "de acceso general"; a ésta responden todos los dispositivos conectados al bus. Lectura/Escritura (Bit R/W): Cada dispositivo tiene una dirección de 7 bits. El octavo bit (el menos significativo) que se envía durante la operación de direccionamiento, completando el byte, indica el tipo de operación a realizar. Si este bit es alto el dispositivo maestro lee información proveniente de un dispositivo esclavo. Si este bit es bajo, el dispositivo maestro escribe información en un dispositivo esclavo. La comunicación I2C

Cuando el dispositivo maestro quiere comunicarse con un esclavo, produce una secuencia de inicio en el bus. La secuencia de inicio es una de las dos secuencias especiales que se han definido en el bus I2C; la otra es la secuencia de parada. Las secuencias de inicio y la de parada son especiales porque son los dos únicos casos en que se permite que la línea de datos (SDA) cambie cuando la línea de reloj (SCL) está alta. Cuando se están transmitiendo datos, la línea SDA debe permanecer estable, y jamás cambiar, mientras la línea SCL está alta. Las secuencias de inicio y de parada señalan el comienzo y el final de una transacción con los dispositivos esclavos. Los datos se transfieren en secuencias de 8 bits. Estos bits se colocan en la línea SDA

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

71 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

comenzando por el bit de más peso (o más significativo). Una vez puesto un bit en SDA, se lleva la línea SCL a alto. Se debe recordar que el chip no puede llevar la línea a un estado alto, en realidad, lo que hace es "soltarla", y el que la pone en nivel lógico alto es el resistor de polarización. Por cada 8 bits que se transfieren, el dispositivo que recibe el dato envía de regreso un bit de reconocimiento, de modo que en realidad por cada byte de dato se producen 9 pulsos sobre la línea SCL (es decir, 9 pulsos de reloj por cada 8 bits de dato). Si el dispositivo que recibe envía un bit de reconocimiento bajo, indica que ha recibido el dato y que está listo para aceptar otro byte. Si retorna un alto, lo que indica es que no puede recibir más datos y el dispositivo maestro debería terminar la transferencia enviando una secuencia de parada. Direccionamiento de dispositivos en el bus I2C

Lo más común en los dispositivos para el bus I2C es que utilicen direcciones de 7 bits, aunque existen dispositivos de 10 bits. Este último caso es raro. Una dirección de 7 bits implica que se pueden poner hasta 128 dispositivos sobre un bus I2C, ya que un número de 7 bits puede ir desde 0 a 127. Cuando se envían las direcciones de 7 bit, de cualquier modo la transmisión es de 8 bits. El bit extra se utiliza para informarle al dispositivo esclavo si el dispositivo maestro va a escribir o va a leer datos desde él. Si el bit de lectura/escritura (R/W) es cero, el dispositivo maestro está escribiendo en el esclavo. Si el bit es 1 el maestro está leyendo desde el esclavo. La dirección de 7 bit se coloca en los 7 bits más significativos del byte y el bit de lectura/escritura es el bit menos significativo. También se pueden tomar las direcciones del bus I2C como direcciones de 8 bit, en las que las pares son de sólo escritura y las impares son de sólo lectura. Protocolo de programación para el bus I2C

Lo primero que ocurre en un bus I2C es que el dispositivo maestro envía una secuencia de inicio. Esto alerta a los dispositivos esclavos, poniéndolos a la espera de una transacción. Éstos quedan atentos para ver si se trata de una solicitud para ellos. A continuación el dispositivo maestro envía la dirección de dispositivo. El dispositivo esclavo que posee esa dirección continuará con la transacción, y los otros ignorarán el resto de los intercambios, esperando la próxima secuencia de inicio. Habiendo direccionado ya el dispositivo esclavo, lo que debe hacer ahora el maestro es enviar la ubicación interna o número de registro desde el que desea leer o al que va a escribir. La cantidad depende, obviamente, de qué dispositivo es y de cuántos registros internos posee. Algunos dispositivos muy simples no tienen ninguno, pero la mayoría sí los poseen. Una vez que el maestro ha enviado la dirección del dispositivo en el bus I2C y la dirección del registro interno del dispositivo, puede enviar ahora el byte o bytes de datos. El dispositivo maestro puede seguir enviando bytes al esclavo, que normalmente serán puestos en registros con direcciones sucesivas, ya que el esclavo incrementa automáticamente la dirección del registro interno después de recibir cada byte. Cuando el maestro ha terminado de escribir datos en el esclavo, envía una secuencia de parada que concluye la transacción. Escritura en el dispositivo esclavo:

1. Enviar una secuencia de inicio

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

72 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

2. Enviar la dirección de dispositivo con el bit de lectura/escritura en bajo 3. Enviar el número de registro interno en el que se desea escribir 4. Enviar el byte de dato 5. [Opcionalmente, enviar más bytes de dato] 6. Enviar la secuencia de parada Lectura desde el dispositivo esclavo: Esta operación es más complicada, pues antes de leer datos desde el dispositivo esclavo, primero se le debe informar desde cuál de sus direcciones internas se va a leer. De manera que una lectura desde un dispositivo esclavo en realidad comienza con una operación de escritura en él. Es igual a cuando se desea escribir en él: Se envía la secuencia de inicio, la dirección de dispositivo con el bit de lectura/escritura en bajo y el registro interno desde el que se desea leer. Ahora se envía otra secuencia de inicio nuevamente con la dirección de dispositivo, pero esta vez con el bit de lectura/escritura en alto. Luego se leen todos los bytes necesarios y se termina la transacción con una secuencia de parada. 2.3.3.10 Protocolo RS232

Esta norma fue elaborada por la EIA (Electronics Industry Association) la cual define la interface mecánica, los pines, las señales y los protocolos que debe cumplir la comunicación serial. Por medio de este protocolo se estandarizan las velocidades de transferencia de datos, la forma de control que utiliza dicha transferencia, los niveles de voltajes utilizados, el tipo de cable permitido, las distancias entre equipos, los

conectores, etc. Además de las líneas de transmisión (𝑇𝑥) y recepción (𝑅𝑥), las

comunicaciones seriales poseen otras líneas de control de flujo (𝐻𝑎𝑛𝑑𝑠 − ℎ𝑎𝑘𝑒), donde su uso es opcional dependiendo del dispositivo a conectar. A nivel de software, la configuración principal que se debe dar a una conexión a través de puertos seriales. RS-232 es básicamente la selección de la velocidad en baudios (1200, 2400, 4800, etc.), la verificación de datos o paridad (parida par o paridad impar o sin paridad), los bits de parada luego de cada dato(1 ó 2), y la cantidad de bits por dato (7 ó 8), que se utiliza para cada símbolo o carácter enviado. Todas las normas RS-232 cumplen con los siguientes niveles de voltaje:

Un “1” lógico es un voltaje comprendido entre –5v y –15v en el transmisor y entre -3v y –25v en el receptor.

Un “0” lógico es un voltaje comprendido entre +5v y +15 v en el trasmisor y entre +3v y +25 v en el receptor.

El envío de niveles lógicos (bits) a través de cables o líneas de transmisión necesita la conversión a voltajes apropiados. En los micro controladores para representar un ‘0 lógico’ se trabaja con voltajes inferiores a 0.8V y para un ‘1 lógico’ con voltajes mayores a 2V. En general cuando se trabaja con familias TTL y CMOS se asume que un “0” lógico es igual a cero Volts y un “1 lógico” es igual a 5 Volts. La importancia de conocer esta norma, radica en los niveles de voltaje que maneja el puerto serial del ordenador, ya que son diferentes a los que utilizan los microcontroladores y los demás circuitos

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

73 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

integrados. Por lo tanto se necesita de una interface que haga posible la conversión de niveles de voltaje a los estándares manejados por los CI TTL. El RS-232C consiste en un conector tipo DB-25 de 25 pines, aunque es normal encontrar la versión de 9 pines DB-9, más barato e incluso más extendido para cierto tipo de periféricos (como el ratón serie del PC). En cualquier caso, los PCs no suelen emplear más de 9 pines en el conector DB-25. Las señales con las que trabaja este puerto serie son digitales, de +12V (0 lógico) y -12V (1 lógico), para la entrada y salida de datos, y a la inversa en las señales de control. El estado de reposo en la entrada y salida de datos es -12V. Dependiendo de la velocidad de transmisión empleada, es posible tener cables de hasta 15 metros.

Figura 2.53 Conector DB-25 y DB-9

Cada pin puede ser de entrada o de salida, teniendo una función específica cada uno de ellos. Las más importantes son: Tabla 2.6 Funciones de los pines del protocolo RS232

Pin Función

TXD Transmitir datos

RXD Recibir datos

DTR Terminal de datos listo

DSR Equipo de datos listo

RTS Solicitud de envío

CTS Libre para envío

DCD Detección de Portadora

Las señales TXD, DTR y RTS son de salida, mientras que RXD, DSR, CTS y DCD son de entrada. La masa de referencia para todas las señales es SG (Tierra de Señal). Finalmente, existen otras señales como RI (Indicador de Llamada), y otras poco comunes. Tabla 2.7 Conexiones DB-25 y DB-9

Numero de Pin Señal Descripción E/S

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

74 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

DB-25 DB-9

1 1 - GND -

2 3 TXD Transmisor S

3 2 RXD Receptor E

4 7 RTS Solicitud de envío S

5 8 CTS Libre para el envío E

6 6 DSR Equipo de datos listo E

7 5 SG Tierra -

8 1 CD/DCD Detección de portadora E

15 - TXC (*) Transmisor del reloj S

17 - RXC (*) Receptor del reloj E

20 4 DTR Terminal de datos listo S

22 9 RI Indicador de llamada E

24 - RTXC (*) Trasmisor/ receptor del reloj s

(*) = Normalmente no conectados El circuito MAX 232

Este circuito soluciona los problemas de niveles de voltaje cuando se requiere enviar señales digitales sobre una línea RS-232. Este chip se utiliza en aquellas aplicaciones donde no se dispone de fuentes dobles de +12 y –12 Volts. El MAX 232 necesita solamente una fuente de +5V para su operación, internamente tiene un elevador de voltaje que convierte el voltaje de +5V al de doble polaridad de +12V y –12V. Existen una gran variedad de CI que cumplen con la norma RS-232 (MAX220, DS14C232, MAX233, LT1180A).

Figura 2.54 Conexión MAX 232

2.4 Sistema de georreferenciación

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

75 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Los conceptos de información espacial, información geográfica, información georreferenciada o geodatos, aluden a información susceptible de ser localizada en el espacio, y por tanto, de ser representada en un mapa (medio de comunicación visual de gran potencia). Existen diferentes formas de representar espacialmente un dato, como puedan ser las coordenadas geográficas (latitud y longitud), cartesianas en algún sistema de referencia cartográfico o, simplemente, una dirección postal que permita ubicar dicha posición en el espacio de forma inequívoca (geo codificación). Algunas ventajas de la información espacial, que justifican el proceso de georreferenciarla son:

La capacidad netamente superior, y a veces exclusiva, que posee la información espacial para integrar conjuntos de información de otra forma inconexos, mediante la aplicación de las relaciones espaciales de coincidencia, proximidad o adyacencia que posibilita el conocimiento de la localización espacial. Esta es la base del análisis espacial.

La información espacial se puede representar en forma gráfica y simbólica mediante mapas.

Definición: La georeferenciación es el conocimiento de la posición de un objeto, persona o lugar con respecto a un sistema único, en lo posible mundial. Existen diferentes tipos de georeferenciacion entre las cuales tenemos. 2.4.1 Georreferenciación directa

Se basa en el uso de un sistema de coordenadas establecido para un determinado sistema de proyección. Los sistemas de proyección están pensados para resolver el problema de proyectar la superficie curva de la tierra en un sistema plano. Aunque todo sistema de proyección distorsiona la realidad, podemos mantener sin distorsión el área (proyecciones equivalentes), las distancias (equidistantes) o los ángulos (conformes). Entre los sistemas de proyección globales (válidos en todo el globo terráqueo), el más utilizado es el correspondiente a la proyección UTM (Universal Transversal Mercator), que se obtiene proyectando sobre un cilindro cuya directriz es un meridiano terrestre (a lo largo del cual la distorsión es nula). En este caso, la georreferencia se expresa mediante un identificador de zona y dos coordenadas (x, y) en metros, según los ejes E-O y N-S respectivamente. Este sistema es el que se usa en la mayoría de los organismos cartográficos nacionales e internacionales, así como en el que se proporcionan habitualmente los datos de imágenes de satélites. Sin embargo, los problemas se presentan cuando es necesario trabajar con datos de dos zonas diferentes. También se usan asiduamente las llamadas coordenadas planas, resultantes de obviar la curvatura terrestre, por lo que son válidas para problemas cuya área de interés sea de dimensiones moderadas, ya que en otro caso se producirán inconsistencias por las distorsiones introducidas (errores). Normalmente los SIG (Sistema Integrado Geográfico) comerciales proporcionan funcionalidades para realizar cambios de

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

76 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

coordenadas entre varios sistemas diferentes, ya que es habitual disponer de información gráfica referida a distintos sistemas de proyección. 2.4.2 Georreferenciación indirecta o discreta

Su fundamento es asociar al elemento que se representa una clave o índice, normalmente con significado administrativo (dirección, código postal, etc.), que puede ser usada para la determinación de una posición, naturalmente con una precisión no siempre equivalente a la obtenida con Georreferenciación directa. La virtud de este sistema es el poder aprovechar de forma inmediata la gran cantidad de información disponible con georreferenciación directa. 2.4.3 Sistema GPS

El sistema de posicionamiento global (GPS) es una tecnología que le permite al usuario obtener su posición las 24 horas del día en cualquier punto de la Tierra. El rango de precisión de una posición va de los 10 metros a unos pocos mm, dependiendo del equipamiento y las técnicas utilizadas. El sistema GPS está formado por una constelación de 24 satélites, que orbitan la Tierra a una altura de 20200 kilómetros, emitiendo constantemente señales de radio. El receptor GPS calcula su posición efectuando mediciones de distancia a cuatro (4) o más satélites. La distancia individual a un satélite es determinada en función del tiempo que tarda en viajar la señal desde el satélite al receptor y su velocidad de propagación. La posición del satélite es conocida para el receptor. Luego, mediante triangulaciones, se determinan las coordenadas del punto relevado. 2.4.3.1 Técnicas y precisiones Posicionamiento Autónomo

El posicionamiento autónomo (con un solo receptor) tiene una precisión que oscila entre 1 y 7 m. Los receptores autónomos son de bajo costo y muy utilizados. Corrección diferencial

Técnica que permite obtener las coordenadas de un punto por debajo de 1 metro en planimetría y menor precisión en altimetría, mejorando ambas de acuerdo al tipo de receptor utilizado. El método se basa en la corrección de todas las posiciones tomadas (calculadas con un receptor fijo en un punto conocido), que luego son aplicadas a un receptor itinerante. La corrección diferencial es un método que, por su precisión, tiene escasa utilidad en mediciones altimétricas. Receptores Geodésicos

Los receptores geodésicos son equipos de alta complejidad, que permiten obtener precisiones que van del rango de los 3 cm a unos pocos mm tanto en planimetría como en altimetría. La distancia a los satélites, a diferencia de los demás métodos, es calculada en función de la fase de la onda portadora que envían los satélites.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

77 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

2.4.3.2 Diferencia con los métodos tradicionales.

Ciertamente la medición con GPS tiene algunas ventajas sobre otras técnicas tradicionales.

No tiene requerimientos visuales entre la estación base y el receptor itinerante. Hasta el advenimiento del GPS, la inter visibilidad era un gran factor limitante en cualquier práctica de medición.

Permite realizar mediciones dinámicas (vehículo en movimiento). Cada punto relevado es una medición independiente, por lo tanto no existe

arrastre de errores. El GPS puede utilizarse prácticamente bajo cualquier condición climática.

De esta manera se mejora la productividad, eficiencia y precisión. Haciendo actualmente que el sistema GPS sea la forma más veloz, económica y precisa, que existe de medir. Todas las técnicas de medición con GPS son con posicionamiento relativo, es decir que requieren de dos receptores. Un receptor (la estación base) queda fija en un punto. El otro receptor (itinerante) es posicionado unos pocos segundos en cada uno de los puntos cuyas coordenadas se quieren determinar. El método de medición GPS nos permite reducir el tiempo de relevamiento prácticamente al tiempo que se demora en trasladar el receptor de un punto a otro. 2.4.3.3 Funcionamiento del sistema GPS

Recordando el Sistema de Posicionamiento Global (GPS) es una tecnología que permite al usuario obtener su posición las 24 horas del día en cualquier punto de la tierra. Las coordenadas provistas por el GPS son latitud, longitud y altura sobre el elipsoide WGS84. Este elipsoide no es más que un modelo matemático de la forma de la tierra. La posición de cada uno de los satélites que forman el sistema GPS es conocida a cada instante a través de sus efemérides (tabla de posiciones). Una característica importante de los satélites es que poseen un reloj atómico de extrema

precisión. Los satélites emiten ondas en dos frecuencias: 𝐿1 = 1575,42 𝑀ℎ𝑧 y 𝐿2 =1227,60 𝑀ℎ𝑧. A su vez las ondas estan moduladas con un código binario. Esta misma onda codificada es generada internamente en los receptores. Para determinar su posición (latitud, longitud y altura) al receptor GPS le bastaría calcular la intersección de tres esferas cuyos centros son la posición de cada uno de los satélites observados y cuyos radios son las distancias entre receptor y satélite. Por tal motivo todo el sistema de posicionamiento se basa en la medición de distancias entre receptor y satélite. La distancia individual a un satélite es determinada en función del tiempo que tarda en viajar la onda desde el satélite al receptor y la velocidad de propagación de dicha onda. Calculo de tiempo

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

78 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El receptor calcula el tiempo midiendo el retraso entre el código que genera y el recibido desde el satélite. El tiempo así calculado solo tendrá validez si la onda es generada en el satélite y en el receptor en forma simultánea. Esto no es así debido a que el receptor no posee un reloj atómico. Existe por lo tanto un error de tiempo y por ende de distancia. Este problema se soluciona sincronizando relojes de receptor y satélites. Dicha sincronización se logra gracias a la medición simultánea de cuatro o más satélites, lo cual permite calcular el error de reloj del receptor, ya que deberá ser un valor tal que las distancias calculadas a partir de dicho valor generen cuatro o más esferas que se intersecten en un solo punto. Calculo de la velocidad La velocidad de propagación de la onda es la velocidad de la luz (300000km/seg). Otra forma de medir distancias a los satélites: además de la técnica ya explicada, existe una segunda forma de medir distancias entre receptor y satélite. Consiste en aprovechar una propiedad física de la onda. A diferencia de la metodología anterior, en donde lo que se medía era el corrimiento entre código recibido y código emitido, ahora lo que se mide es el corrimiento de fase entre la onda generada por el receptor y la onda recibida de cada uno de los satélites. Se entiende que el corrimiento de fase se mide una vez sincronizados los relojes de receptor y satélite. Si a ese valor de corrimiento de fase le sumamos el número entero de ciclos de onda que existen entre satélite y receptor obtendremos la distancia al satélite con un error que puede ser inferior a un cm. El número entero de ciclos no es un dato conocido (por eso se lo llama ambigüedad) y la forma de calcularlo es mediante diferenciaciones usando al menos dos receptores que estén captando los mismos satélites simultáneamente.

CAPÍTULO 3

RESPUESTA DEL INSTRUMENTO 3. Introducción Todo dispositivo o elemento de medición tiene un determinado comportamiento o respuesta, que depende básicamente de la magnitud que mide o registra, este comportamiento puede ser modelado con una ecuación diferencial, que represente o abarque el funcionamiento del elemento. Los valores con los que se modelan dichas funciones, son valores estándares nominales, requisitos de cada elemento para su correcto funcionamiento, estas ecuaciones servirán para la calibración de los mismos en caso de mal funcionamiento, reparación. 3.1 Sistemas lineales En procesamiento de señales, un sistema LTI (Lineal he invariante en el tiempo), es aquel que, cumple las propiedades de linealidad e invarianza en el tiempo. 3.1.1 Definición de linealidad

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

79 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Se dice que un sistema es lineal, cuando cumple con los dos siguientes criterios:

Si una entrada 𝑋 al sistema produce una salida 𝑋, entonces una entrada 2𝑋 producirá una salida 2𝑋. Es decir, la magnitud de la salida del sistema es proporcional a la magnitud de la entrada.

Si una entrada 𝑋 produce una salida 𝑋, y una entrada 𝑌 produce una salida 𝑌, entonces una entrada 𝑋 + 𝑌 producirá 𝑋 + 𝑌. Dicho de otra forma, el sistema maneja dos entradas simultáneas de manera independiente y estas no interactúan en el sistema. Esos criterios implican el hecho que un sistema lineal no producirá frecuencias de salida, que no estén presentes en la entrada.

Obsérvese que no hay nada en estos criterios que diga que la salida del sistema es la misma que la entrada, o que la salida se parece a la entrada. Así se podría tener en la entrada la magnitud, corriente eléctrica y a la salida se podría tener como magnitud, la temperatura. 3.1.2 Definición de invariante en el tiempo

Se dice que un sistema es invariante en el tiempo cuando no depende explícitamente del tiempo. 3.1.3 Conceptos

En un sistema LTI (Lineal e Invariante en el Tiempo) la respuesta al impulso (ℎ[𝑛] o

ℎ(𝑡) ) caracteriza completamente el sistema. Es decir, a partir de la respuesta al impulso podemos conocer la salida ante cualquier entrada mediante la operación de convolución.

ℎ[𝑛] = 𝑦[𝑛]|𝑥[𝑛]=𝛿[𝑛] 𝑦[𝑛] = ∑ 𝑥[𝑘]ℎ[𝑛 − 𝑘] = 𝑥[𝑛]∞𝑘=−∞ ∗ ℎ[𝑛] 3.1

ℎ[𝑡] = 𝑦[𝑡]|𝑥[𝑡]=𝛿[𝑡] 𝑦[𝑡] = ∑ 𝑥[𝜏]ℎ[𝑡 − 𝜏] = 𝑥[𝑡]∞−∞ ∗ ℎ[𝑡] 3.2

Las propiedades de la convolución son:

a) El elemento neutro b) Conmutativa c) Asociativa d) Distributiva

Es importante recordar.

𝑥(𝑡) ∗ 𝛿(𝑡) = 𝑥(𝑡) 3.3 𝑥(𝑡) ∗ 𝛿(𝑡 − 𝑡0) = 𝑥(𝑡 − 𝑡0) 3.4

3.1.4 Propiedades de los sistemas Lineales invariantes en el tiempo

A partir de la respuesta al impulso, en un sistema LTI, se puede determinar sus propiedades de causalidad, memoria, estabilidad, y encontrar el sistema inverso si

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

80 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

existe, adicionalmente a estas se suman las propiedades de la convolución, el elemento cero, conmutativa, asociativa y distributiva. 3.1.4.1 Causalidad Un sistema es causal cuando su salida no anticipa valores futuros de la entrada. Un sistema es causal si:

ℎ[𝑛] = 0 𝑛 < 0 ℎ[𝑡] = 0 𝑡 < 0 3.5 3.1.4.2 Memoria En un sistema LTI sin memoria, su respuesta al impulso solo puede ser un múltiplo de 𝛿[𝑛], 𝛿(𝑡)

𝑆𝑖𝑠𝑡𝑒𝑚𝑎 𝑠𝑖𝑛 𝑚𝑒𝑚𝑜𝑟𝑖𝑎: ℎ[𝑛] = 0 ∀𝑛 ≠ 0 ℎ(𝑡) = 0 ∀𝑡 ≠ 0 3.6

Dado que en un sistema sin memoria, la salida no puede depender de valores pasados ni futuros de la entrada, solo puede ser no nula en el instante cero. 3.1.4.2 Estabilidad Un sistema LTI es estable si se cumple:

∑ |ℎ(𝑡)|𝑑𝑡 < ∞∞−∞ 3.7

3.1.4.3 Invertibilidad Un sistema será invertible si existe un sistema tal que

ℎ[𝑛] ∗ ℎ1[𝑛] = 𝛿[𝑛] 𝑛(𝑡) ∗ ℎ1(𝑡) = 𝛿(𝑡) 3.8

Figura 3.55 Propiedad de Invertibilidad

3.1.4.4 Elemento neutro

La salida correspondiente a un impulso unitario es la respuesta al impulso del sistema.

𝛿[𝑛] ∗ ℎ[𝑛] = ℎ[𝑛], 3.9 𝛿(𝑡) ∗ ℎ(𝑡) = ℎ(𝑡), 3.10

3.1.4.5 Conmutativa

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

81 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La salida del sistema no cambia si se intercambian entrada y respuesta al impulso.

𝑥[𝑛] ∗ ℎ[𝑛] = ℎ[𝑛] ∗ 𝑥[𝑛] 𝑥(𝑡) ∗ ℎ(𝑡) = ℎ(𝑡) ∗ 𝑥(𝑡) 3.11

Figura 3.56 Propiedad Conmutativa

3.1.4.6 Distributiva Interconexión de varios sistemas en paralelo.

𝑥[𝑛] ∗ (ℎ1[𝑛] + ℎ2[𝑛]) = 𝑥[𝑛] ∗ ℎ1[𝑛] + 𝑥[𝑛] ∗ ℎ2[𝑛] 3.12

𝑥(𝑡) ∗ (ℎ1(𝑡) + ℎ2(𝑡)) = 𝑥(𝑡) ∗ ℎ1(𝑡) + 𝑥(𝑡) ∗ ℎ2(𝑡) 3.13

Figura 3.57 Propiedad Distributiva

Como consecuencia de las propiedades conmutativa y distributiva:

(𝑥1[𝑛] + 𝑥2[𝑛]) ∗ ℎ[𝑛] = 𝑥1[𝑛] ∗ ℎ[𝑛] + 𝑥2[𝑛] ∗ ℎ[𝑛], 3.14 (𝑥1(𝑡) + 𝑥2(𝑡)) ∗ ℎ(𝑡) = 𝑥1(𝑡) ∗ ℎ(𝑡) + 𝑥2(𝑡) ∗ ℎ(𝑡). 3.15

Esto permite descomponer una convolución complicada en varias más sencillas. 3.1.4.7 Asociativa Interconexión de varios sistemas en serie.

𝑥[𝑛] ∗ (ℎ1[𝑛] ∗ ℎ2[𝑛]) = (𝑥[𝑛] ∗ ℎ1[𝑛]) ∗ ℎ2[𝑛]), 3.16

𝑥(𝑡) ∗ (ℎ1(𝑡) ∗ ℎ2(𝑡)) = (𝑥(𝑡) ∗ ℎ1(𝑡)) ∗ ℎ2(𝑡)), 3.17

Es decir, da igual el orden de las operaciones el resultado será el mismo.

𝑦[𝑛] = 𝑥[𝑛] ∗ ℎ1[𝑛] ∗ ℎ2[𝑛], 3.18

𝑦(𝑡) = 𝑥(𝑡) ∗ ℎ1(𝑡) ∗ ℎ2(𝑡). 3.19

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

82 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 3.58 Propiedad Asociativa

3.2 Representación de Fourier y transformada z. Cuando se está trabajando con señales, se deben tener presente lo que es la transformada de Fourier y la transformada Z, para de esta manera interpretar los resultados. A continuación se presentan de forma general dichos conceptos. 3.2.1 Transformada de Fourier

Sea 𝑥(𝑡) una señal continua. Se define la transformada de Fourier de 𝑥, denotada con

𝑋(𝜔), como la función.

𝑥(𝜔) = ∫ 𝑥(𝑡)𝑒−𝑗𝜔𝑡∞

−∞𝑑𝑡 3.20

Que está definida en R y toma valores complejos. Para que la transformada de Fourier de una señal 𝑥(𝑡) exista (en forma ordinaria no como función generalizada), 𝑥 debe satisfacer las siguientes propiedades denominadas condiciones de Dirichlet:

1. 𝑥(𝑡) es absolutamente integrable, esto es,

∫ |𝑥(𝑡)|𝑑𝑡 < ∞∞

−∞ 3.21

2. 𝑥(𝑡) posee un número finito de discontinuidades en cualquier intervalo finito.

Transformada Inversa de Fourier. Sea 𝑥(𝑡) una señal cuya transformada de Fourier es 𝑋(𝜔). La transformada inversa de Fourier es el proceso de obtener 𝑥(𝑡) a través de 𝑋(𝜔) y se define como:

𝑥(𝑡) =1

2𝜋∫ 𝑋(𝜔)𝑒𝑗𝜔𝑡𝑑𝜔

−∞ 3.22

Según la expresión anterior la transformada inversa de Fourier se traduce a integrar la

función 𝑥(𝜔)𝑒𝑖𝜔𝑡 que está definida, de los reales a los complejos. 3.2.2.1 Transformadas de Fourier de señales básicas

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

83 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

A continuación se presenta algunas transformadas de Fourier de las señales básicas más utilizadas. Tabla 3.8 Pares básicos de Transformadas de Fourier

Señal Transformada de Fourier

∑ 𝑎𝑘𝑒𝑗𝑘𝜔0𝑡

+∞

𝑘=−∞

2𝜋 ∑ 𝑎𝑘𝛿(𝜔 − 𝜔0)

+∞

𝑘=−∞

𝑒𝑗𝜔0𝑡 2𝜋𝛿(𝜔 − 𝜔0)

cos 𝜔0𝑡 𝜋[𝛿(𝜔 − 𝜔0) + 𝛿(𝜔 + 𝜔0)]

sen 𝜔0𝑡 𝜋

𝑗[𝛿(𝜔 − 𝜔0) − 𝛿(𝜔 + 𝜔0)]

𝑥 (𝑡) = 1 2𝜋𝛿(𝜔) sen 𝑊𝑡

𝜋𝑡 𝑥(𝑤) = {

1, |𝜔| < 𝑊 2, |𝜔| > 𝑊

𝛿(𝑡) 1

𝑢(𝑡) 1

𝑗𝜔+ 𝜋𝛿(𝜔)

𝛿(𝑡 − 𝑡0) 𝑒−𝑗𝜔𝑡0

𝑒−𝑎𝑡𝑢(𝑡), 𝑅𝑒 {𝑎} > 0 1

𝑎 + 𝑗𝜔

𝑡𝑒−𝑎𝑡𝑢(𝑡), 𝑅𝑒 {𝑎} > 0 1

(𝑎 + 𝑗𝜔)2

𝑡𝑛−1

(𝑛 − 1)!𝑒−𝑎𝑡𝑢(𝑡), 𝑅𝑒 {𝑎} > 0

1

(𝑎 + 𝑗𝜔)𝑛

3.2.2.2 Propiedades de la Transformada de Fourier

La siguiente tabla muestra las propiedades de la TF (Transformada de Fourier). Tabla 3.9 Propiedades de la transformada de Fourier

Propiedad Señal Transformada de

Fourier

𝑥 (𝑡) 𝑦 (𝑡)

𝑋 (𝜔) 𝑌 (𝜔)

Linealidad 𝑎𝑥(𝑡)+ 𝑏𝑦(𝑡)

𝑎𝑋(𝜔) + 𝑏𝑌(𝜔)

Desplazamiento en Tiempo 𝑥(𝑡 − 𝑡0) 𝑒−𝑗𝜔𝑡0𝑋(𝜔 )

Desplazamiento en Frecuencia 𝑒𝑗𝜔𝑡0𝑡(𝑡 ) 𝑋(𝜔 − 𝜔0)

Escalonamiento de Tiempo y de Frecuencia

𝑥 (𝑎𝑡) 1

|𝑎|𝑋 (

𝜔

𝑎)

Inversión en el Tiempo 𝑥 (−𝑡) 𝑋 (−𝜔)

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

84 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Conjugación 𝑥(𝑡) 𝑋(−𝜔)

Convolución 𝑥 (𝑡) ∗ 𝑦(𝑡) 𝑋 (𝜔) 𝑌(𝜔)

Multiplicación 𝑥(𝑡) 𝑦(𝑡) 1

2𝜋𝑋(𝜔) 𝑌(𝜔)

Diferenciación en tiempo 𝑑

𝑑𝑡𝑥(𝑡) 𝑗𝜔𝑋(𝜔)

Integración ∫ 𝑥(𝑡)𝑑𝑡𝑡

−∞

1

𝑗𝜔𝑋(𝜔) + 𝜋𝑋(0)𝛿(𝜔)

Diferenciación en frecuencia 𝑡𝑥(𝑡) 𝑗𝑑

𝑑𝜔𝑋(𝜔)

3.2.2.3 Magnitud y Fase de una señal

Magnitud de una señal: Sea 𝑋(𝜔) la transformada de Fourier de una señal continua 𝑥(𝑡). La magnitud de la señal 𝑥(𝑡) se define como el valor absoluto de su transformada

de Fourier; es decir, la función 𝐴(𝜔) = |𝑋(𝜔)| se define como el espectro de magnitud de 𝑥(𝑡). Fase de una señal: Sea 𝑋(𝜔) la transformada de Fourier de una señal continua 𝑥(𝑡). La fase de la señal 𝑥(𝑡) se define como el argumento de su transformada de Fourier; es

decir, la función 𝜙(𝜔) = arg {𝑋(𝜔)} Se define como el espectro de fase de 𝑥(𝑡). 3.2.2 Transformada Z

Existen dos tipos de transformadas Z, para una señal discreta: La transformada Z bilateral y la transformada Z unilateral. 3.2.2.1 Transformada Z bilateral Se define la Transformada z Bilateral de una señal discreta x(n) como:

𝑋(𝑧) = ∑ 𝑥(𝑛)𝑧−𝑛∞𝑛=−∞ 3.23

Donde 𝑧 es una variable compleja. Es decir, la transformada 𝑧 bilateral de una señal discreta es una función analítica en cierto dominio, denominado región de convergencia. 3.2.2.2 Transformada Z unilateral Sea 𝑥(𝑛) una señal discreta. Se define la Transformada z Unilateral de 𝑥(𝑛) como:

𝑋′(𝑧) = ∑ 𝑥(𝑛)𝑧−𝑛∞𝑛=0 3.24

Donde 𝑧 es una variable compleja. Es decir, la transformada z unilateral de una señal discreta es una función analítica en cierto dominio, denominado región de convergencia. La transformada z se denomina a veces transformada z directa porque

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

85 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

transforma una señal en el dominio del tiempo 𝑥(𝑛) en una señal compleja 𝑋(𝑧). El procedimiento inverso, es decir, el que obtiene 𝑥(𝑛) a partir de 𝑋(𝑧), se denomina transformada z inversa. La transformada z de una señal 𝑥(𝑛) se denota por: X(z) ≡ Z{x(n)} mientras que la

relación entre x(n) y X(z) se indica mediante 𝑥(𝑛) ↔ 𝑋(𝑧) y se denomina par de transformadas z. Dado que la transformada z es una serie infinita de potencias, ésta existe sólo para aquellos valores de z para los que la serie converge. La región de convergencia, ROC (Región de Convergencia), de 𝑋(𝑧) es el conjunto de todos los

valores de z para los que 𝑋(𝑧) es finita. Por lo tanto, siempre que hablemos de una transformada z se indicara también su ROC. 3.2.2.3 Transformada z Inversa

Existen tres métodos para realizar la Transformada z Inversa, Integración Compleja, Inversión con Tablas y Expansión en Serie de Potencias. Integración Compleja.- Si 𝑋(𝑧) es una función analítica cuyo ROC es el anillo, la transformada inversa z, se reduce a integrar una función analítica a lo largo de un contorno cerrado simple. En otras palabras,

x(n) =1

2πj∫ X(z)zn−1dz.

c 3.25

Donde 𝐶 = {𝑧 ∈ 𝐶: |𝑧| = 𝑟, 𝑟1 < 𝑟 < 𝑟2’.

Para calcular 𝑥(𝑛) a través de este método, se puede utilizar el teorema integral de Cauchy o el Teorema de los Residuos. Inversión con Tablas.- Este método consiste en expresar a X(z) como una suma

𝑋(𝑧) = 𝑋1(𝑧) + 𝑋2(𝑧)+. . . . . . +𝑋𝑘(𝑧), 3.26

Donde 𝑋1(𝑧), 𝑋2(𝑧), . . … 𝑋𝑘(𝑧) son funciones tales que se les conoce su transformada z inversa 𝑥1(𝑛), 𝑥2(𝑛), . … . 𝑥𝑘(𝑛). Si 𝑋(𝑧) puede expandirse, entonces su transformada z inversa es la suma

𝑥(𝑛) = 𝑥1(𝑛) + 𝑥2(𝑛)+. … . . +𝑥𝑘(𝑛). 3.27 Para hallar la transformada z inversa de la transformada z racional se aplicara el método de inversión por tablas. Sea 𝑋(𝑧) una función racional propia, esto es,

𝑋(𝑧) =𝑏0+𝑏1𝑧−1+.…..+𝑏𝑀𝑧−𝑀

1+𝑎1𝑧−1+.…..+𝑎𝑁𝑧−𝑁 3.28

Donde 𝑎𝑁 ≠ 0 𝑦 𝑀 < 𝑁. La expansión de 𝑋(𝑧), cuando esta es racional, se denomina expansión en fracciones parciales. Sean 𝑝1, 𝑝2,.…. 𝑝𝑁 polos de 𝑋(𝑧). Por simplicidad, se

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

86 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

eliminan las potencias negativas de z, multiplicando tanto el numerador como el

denominador por 𝑧𝑁. Así:

𝑋(𝑧) =𝑏0𝑧𝑁+𝑏1𝑧𝑁−1+.…..+𝑏𝑀𝑧𝑁−𝑀

𝑍𝑁+𝑎1𝑧𝑁−1+.….+𝑎𝑁 3.29

Que contiene potencias positivas de z. dado que 𝑀 > 𝑁, la función

𝑋(𝑧)

𝑧=

𝑏0𝑧𝑁−1+𝑏1𝑧𝑁−2+...+𝑏𝑀𝑧𝑁−𝑀−1

𝑍𝑁+𝑎1𝑧𝑁−1+...+𝑎𝑁 3.30

Es propia El propósito de la expansión en fracciones parciales es expresar la expresión de 𝑋(𝑛) como la suma de fracciones simples. Siendo este el objetivo se procede a factorizar el polinomio del denominador en factores que contengan los polos 𝑝1, 𝑝2, . . . 𝑝𝑁 de 𝑋(𝑧). Se distinguen dos casos.

Polos diferentes. Supóngase que los polos 𝑝1, 𝑝2, . . . 𝑝𝑁 son todos diferentes. Entonces se busca una expansión de la forma

𝑋(𝑧)

𝑧=

𝐴1

𝑧−𝑝1+

𝐴2

𝑧−𝑝2+. . . +

𝐴𝑁

𝑧−𝑝𝑁 3.31

Donde

𝐴𝐾 =(𝑧−𝑝𝑘)𝑋(𝑧)

𝑧|

𝑧=𝑝𝑘

, 𝑘 = 1,2, . . . , 𝑁. 3.32

Polos de orden múltiple. Si 𝑋(𝑧) tiene un polo de multiplicidad r, esto es, si en su

denominador aparece un factor de la forma (𝑧 − 𝑝𝑖)𝑟, entonces la expresión

𝑋(𝑧)

𝑧, será:

𝑋(𝑧)

𝑧=

𝐴1

𝑧−𝑝1+

𝐴2

𝑧−𝑝2+. . . +

𝐴𝑖−1

𝑧−𝑝𝑖−1+

𝐴𝑖,1

𝑧−𝑝𝑖+

𝐴𝑖,2

(𝑧−𝑝𝑖)2 +. . . +𝐴𝑖,𝑟

(𝑧−𝑝𝑖)𝑟 +𝐴𝑖+1

(𝑧−𝑝𝑖+1)+. . . +

𝐴𝑙

(𝑧−𝑝𝑙) 3.33

Donde 𝑙 es un entero positivo tal que 𝑁 = 𝑟 + 𝑙 − 1. Así,

𝐴𝑘 =(𝑧−𝑝𝑘)𝑋(𝑧)

𝑧|

𝑧=𝑝𝑘

, 𝑝𝑎𝑟𝑡𝑎 𝑘 ≠ 𝑖 3.34

Y

𝐴𝑖,𝑡 =1

(𝑟−𝑡)!

𝑑(𝑟−𝑡)

𝑑𝑧(𝑟−𝑡) (𝑧−𝑝𝑖)𝑟𝑋(𝑧)

𝑧|

𝑧=𝑝𝑖

, 𝑝𝑎𝑟𝑎 𝑡 = 1,2, . . . , 𝑟. 3.35

Expansión en Serie de Potencias.- Este procedimiento consiste en encontrar el desarrollo de Laurent de 𝑋(𝑧) alrededor del cero, luego definir a 𝑥(𝑛) como los coeficientes de esta serie de potencias. Gracias a que la definición de la transformada z puede interpretarse como una serie de potencias que involucra potencias de z tanto positivas como negativas. Los coeficientes de esta serie de potencias son, de hecho, los valores de la sucesión 𝑥(𝑛).

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

87 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

3.2.2.3 Transformada z de algunas señales básicas En la siguiente tabla se muestran las transformadas z de algunas señales básicas. Tabla 3.10 Transformada z de algunas señales básicas

Señal Transformada z ROC

𝛿(𝑛) 1 Todo C

𝑢(𝑛) 1

1 − 𝑍−1 |𝑧| > 1

−𝑢(−𝑛 − 1) 1

1 − 𝑍−1 |𝑧| < 1

𝛿(𝑛 − 𝑚) 𝑧−𝑚 𝑇𝑜𝑑𝑜 𝐶 𝑒𝑥𝑐𝑒𝑝𝑡𝑜 0 (𝑠𝑖 𝑚 > 0)

ó ∞ (𝑠𝑖 𝑚 < 0)

𝛼𝑛𝑢(𝑛) 1

1 − 𝛼𝑧−1 |𝑧| > 𝛼

−𝛼𝑛𝑢(−𝑛 − 1) 1

1 − 𝛼𝑧−1 |𝑧| < 𝛼

𝑛𝛼𝑛𝑢(𝑛) 𝛼𝑧−1

(1 − 𝛼𝑧−1)2 |𝑧| > 𝛼

−𝑛𝛼𝑛𝑢(−𝑛 − 1) 𝛼𝑧−1

(1 − 𝛼𝑧−1)2 |𝑧| < 𝛼

[𝑐𝑜𝑠𝜔0𝑛]𝑢(𝑛) 1 − [𝑐𝑜𝑠𝜔0 ]𝑧−1

1 − [2𝑐𝑜𝑠𝜔0]𝑧−1 + 𝑧−2 |𝑧| > 1

[𝑠𝑒𝑛𝜔0𝑛]𝑢(𝑛) [𝑠𝑒𝑛𝜔0 ]𝑧−1

1 − [2𝑐𝑜𝑠𝜔0]𝑧−1 + 𝑧−2 |𝑧| > 1

[𝑟𝑛𝑐𝑜𝑠𝜔0𝑛]𝑢(𝑛) 1 − [𝑟𝑐𝑜𝑠𝜔0 ]𝑧−1

1 − [2𝑟𝑐𝑜𝑠𝜔0]𝑧−1 + 𝑟2𝑧−2 |𝑧| > 𝑟

[𝑟𝑛𝑠𝑒𝑛𝜔0𝑛]𝑢(𝑛) [𝑟𝑠𝑒𝑛𝜔0 ]𝑧−1

1 − [2𝑟𝑐𝑜𝑠𝜔0]𝑧−1 + 𝑟2𝑧−2 |𝑧| > 𝑟

3.2.2.3 Propiedades de la Transformada z

A continuación en la tabla 3.4 se ilustran las propiedades de la transformada z.

Tabla 3.11 Propiedades de la Transformada z

Propiedad Señal Transformada

z ROC

𝑥(𝑛)

𝑥1(𝑛)

𝑥2(𝑛)

𝑋(𝑧)

𝑋1(𝑧)

𝑋2(𝑧)

𝑅

𝑅1

𝑅2

Linealidad 𝑎𝑥1(𝑛) + 𝑏𝑥2(𝑛) 𝑎𝑋1(𝑧) + 𝑏𝑋2(𝑧) 𝐴𝑙𝑚𝑒𝑛𝑜𝑠 𝑅1 ∩ 𝑅2

Desplazamiento en tiempo 𝑥(𝑛 − 𝑛0) 𝑧𝑛0𝑋(𝑧) 𝑅, ó 𝑅 ∪ {0}, ó 𝑅 − {0} Escalonamiento en el 𝑒𝑗𝜔0𝑛𝑥(𝑛) 𝑋(𝑒−𝜔0𝑧) 𝑅

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

88 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

dominio de z 𝑧0𝑛𝑥(𝑛)

𝑎𝑛𝑥(𝑛) 𝑋 (

𝑧

𝑧0)

𝑋(𝑎−1𝑧)

𝑧0𝑅 |𝑎|𝑅 = {𝜔: 𝜔 = |𝑎|𝑧, 𝑧 ∈ 𝑅}

Inversión en el tiempo 𝑥(−𝑛) 𝑋(𝑧−1) 𝑅−1 = {𝜔: 𝜔 = 𝑧−1, 𝑧 ∈ 𝑅}

Conjugación 𝑥(𝑛) 𝑋(𝑧) 𝑅

Convolución 𝑥1(𝑛) ∗ 𝑥2(𝑛) 𝑋1(𝑧) 𝑋2(𝑧) 𝐴𝑙 𝑚𝑒𝑛𝑜𝑠 𝑅1 ∩ 𝑅2

Primera diferencia 𝑥(𝑛) − 𝑥(𝑛 − 1) (1 − 𝑧−1)𝑋(𝑧) 𝐴𝑙 𝑚𝑒𝑛𝑜𝑠 𝑅 ∩ {𝑧: |𝑧| > 0}

Acumulación ∑ 𝑥(𝑘)𝑛

𝑘=−∞

1

1 − 𝑧−1𝑋(𝑧) 𝐴𝑙 𝑚𝑒𝑛𝑜𝑠 𝑅 ∩ {𝑧: |𝑧| > 1}

Diferenciación en el dominio de z

𝑛 𝑥(𝑛) −𝑧𝑑𝑋(𝑧)

𝑑𝑧 𝑅

Recordando Teorema del valor inicial

Si 𝑥(𝑛) = 0 para 𝑛 < 0, entonces 𝑥(0) = lim

𝑧→∞𝑋(𝑧) 3.36

3.3 Tratamiento digital de la señal El tratamiento digital de la señal es un área de la Ingeniería que se concentra en la representación, transformación y manipulación de señales, y de la información que estas contienen. El primer tipo de procesamiento electrónico que se desarrolló y se aplicó extensivamente fue el procesamiento análogo, el cual se lleva a cabo mediante circuitos compuestos por resistores, capacitores, inductores, amplificadores operacionales, etc. 3.3.1 Ventajas del tratamiento digital de la señal

El tratamiento digital de la señal trae varias ventajas entre las cuales están:

Estabilidad y repetibilidad: menos sensible a los cambios de las condiciones externas.

Inmunidad al ruido: no sufre variaciones ante la presencia del ruido Alto rendimiento: realización de muchas operaciones en menor tiempo Bajo costo: los CI permiten la fabricación de sistemas digitales potentes,

pequeños, rápidos y baratos. Flexibilidad: permite alterar la funcionalidad del sistema sin cambiar el hardware. Especialización: algunas de funciones complejas se implementan de mejor

manera de forma digital. Versátil: tiene un sin número de aplicaciones (procesamiento de imágenes, voz,

audio, robótica equipos médicos etc.). 3.3.2 Clasificación de los sistemas y señales

La señal se define como la representación eléctrica de una cantidad física que varía con el tiempo, el espacio o cualquier otra variable o variables independientes.

𝐴 = 𝑓(𝑡) 3.37

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

89 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Las señales de acuerdo a la variable son: 3.3.2.1 Unidimensional Son señales que dependen de una sola variable (tiempo, sismo, etc.). 3.3.2.2 Multidimensional Son señales que dependen depende de varias variables (imagen). Las señales de tiempo y valor continuo o discreto se clasifican en: 3.3.2.3 Analógicas

Son señales que tienen amplitud y tiempo continuo 𝑥(𝑡). 3.2.2.4 Muestreadas Son señales que tienen tiempo discreto y amplitud continua 𝑥𝑠[𝑛]. 3.3.2.5 Cuantizadas Son señales que tienen tiempo continuo y amplitud discreta 𝑥𝑞(𝑡).

3.3.2.6 Digital Son señales que tienen tiempo y amplitud discreto𝑥𝑞[𝑛].

Las señales según su duración son: 3.3.2.7 Causales

Este tipo de señales son 0 para 𝑡 < 0, y se definen solo para el eje positivo de 𝑡. 3.3.2.8 Anticausales

Este tipo de señales son 0 para 𝑡 > 0, y se definen solo para el eje negativo de 𝑡. 3.3.2.9 No causales

Estas señales se definen para ambos ejes de 𝑡. 3.3.2.10 Continuas Son señales que se definen para todo tiempo 𝑡.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

90 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

3.3.2.11 Periódicas Estas señales se definen por la siguiente expresión 𝑥𝑝(𝑡) = 𝑥𝑝(𝑡 + 𝑛𝑇), donde 𝑇 es el

periodo y 𝑛 es un entero. Las señales según la simetría con los ejes son: 3.3.2.12 Simetría par

Una señal tiene simetría par cuando cumple con la condición 𝑥(𝑡) = 𝑥(−𝑡). 3.3.2.13 Simetría impar

Una señal tiene asimetría impar cuando 𝑥(𝑡) = −𝑥(−𝑡). Señales determinísticas y señales aleatorias 3.3.2.14 Determinísticas Son señales en las cuales se conocen a priori sus valores presentes, pasados y futuros es decir pueden ser definidas por una expresión matemática. 3.3.2.15 Aleatorias Son señales en las cuales no se conocen sus valores y no pueden ser representadas por una expresión matemática. Señales reales y señales complejas 3.3.2.16 Reales Son señales cuyos valores a tomar son reales. 3.3.2.17 Complejas Son señales cuyos valores a tomar son complejos es decir tienen una parte real y otra imaginaria. A continuación se muestra la clasificación de los sistemas: 3.3.2.18 Lineales

Los coeficientes no dependen de las variables (𝑥, 𝑦, 𝑡, 𝑒𝑡𝑐). No existen términos constantes. 3.3.2.19 No Lineales

Los coeficientes dependen de las variables (𝑥, 𝑦, 𝑡, 𝑣, 𝑒𝑡𝑐). Existen términos constantes.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

91 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

3.3.2.20 Estáticos Son sistemas sin memoria es decir, 𝑦[𝑛] = 𝑎𝑥[𝑛] dependen de la entrada en el instante de tiempo. 3.3.2.21 Dinámicos Son sistemas con memoria es decir, 𝑦[𝑛] = 𝑥[𝑛] + 𝑎 ∗ 𝑥[𝑛 − 1] dependen de las entradas anteriores y futuras. 3.3.2.22 Invariante en el tiempo En este tipo de sistemas los coeficientes no dependen del tiempo. 3.3.2.23 Variante en el tiempo En este tipo de sistemas los coeficientes son funciones explicitas del tiempo. 3.3.3 Diseño de filtros digitales

A continuación se presenta una breve descripción del diseño de filtros digitales, de manera general. 3.3.3.1 Filtrado digital de señales

Un filtro es un sistema que cambia selectivamente la forma de onda (las características amplitud-frecuencia o fase-frecuencia) de una manera deseada. Los objetivos comunes del proceso de filtrado son mejorar la calidad de la señal, ya sea removiendo o atenuando el nivel de ruido, extrayendo información de dos o más señales previamente combinadas para hacer uso eficiente de un canal de comunicación. Un filtro digital es un algoritmo implementado en hardware y/o software que opera sobre una señal de entrada digital (discreta en tiempo y cuantizada en amplitud) y genera una señal digital de salida, con la finalidad de efectuar un proceso de filtrado. El término “filtro digital” se refiere al hardware o software específico que ejecuta el algoritmo. Los filtros digitales trabajan sobre valores numéricos asociados a muestras de esas señales analógicas previamente digitalizadas por conversores A/D o simplemente sobre un conjunto de números almacenados en la memoria de un microprocesador. En la Fig. 3.5 se muestra un diagrama de bloques simplificado de un filtro digital que opera en tiempo real, con entradas y salidas analógicas. La señal de entrada, limitada en banda, se muestrea periódicamente y se convierte en una serie de muestras 𝑥[𝑛], 𝑛 = 0, 1, . .. El procesador digital convierte la sucesión de entrada 𝑥[𝑛] en una sucesión de salida 𝑦[𝑛] de acuerdo al algoritmo de cómputo. El conversor digital-

analógico convierte la salida digital 𝑦[𝑛] a valores continuos en tiempo que son

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

92 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

procesados por un filtro analógico para suavizar la forma de onda y remover componentes no deseadas de alta frecuencia.

Filtro de Entrada

Conversor A/D conMuestreador

ProcesadorDigital de Señales

Conversor D/A

Filtro de Salida

Entrada Analógica

Salida Analogica

Figura 3.59 Diagrama simplificado de un filtro digital Los filtros digitales juegan un papel muy importante en el procesamiento digital de señales. En gran número de aplicaciones, como compresión de datos, procesamiento de señales biomédicas, procesamiento de señales de voz, procesamiento de imágenes, transmisión de datos, audio digital, se prefieren por sobre los filtros analógicos por uno o más de los siguientes motivos:

Los filtros digitales pueden tener características que son imposibles de conseguir con filtros analógicos, como por ejemplo una respuesta de fase exactamente lineal.

El desempeño de los filtros digitales no varía con las condiciones ambientales (temperatura, humedad, etc.) no así con los filtros analógicos, lo que elimina la necesidad de calibrarlos periódicamente.

Si el filtro se implementa utilizando un procesador programable la respuesta en frecuencia de un filtro digital puede ajustarse a voluntad (filtrado adaptivo).

El mismo filtro puede procesar varias señales o canales de entrada sin necesidad de replicar el hardware.

Las señales filtradas y sin filtrar pueden almacenarse para uso o análisis posterior.

Los avances en las técnicas de integración hacen que sea posible fabricar filtros digitales pequeños, de bajo consumo, y de muy bajo costo.

La precisión con que un filtro digital verifica las especificaciones de diseño está limitada solamente por la longitud de palabra (bits) utilizada para representar los coeficientes del filtro y ejecutar las operaciones aritméticas; con los filtros analógicos es difícil lograr atenuaciones que excedan los 60 o 70 dB en la banda de rechazo (utilizando componentes convencionales).

El desempeño de los filtros digitales es repetible de unidad a unidad. Los filtros digitales pueden trabajar sobre un amplio rango de frecuencias

simplemente cambiando la frecuencia de muestreo.

Sin embargo, los filtros digitales también presentan una serie de desventajas respecto a los filtros analógicos: Limitación de frecuencia. La frecuencia de Nyquist –que fija el ancho de banda útil que el filtro puede procesar– queda definida por el proceso de conversión (tiempos de conversión del conversor A/D y D/A), velocidad del procesador, cantidad de operaciones a ejecutar por unidad de tiempo, etc. Este último término se incrementa a

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

93 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

medida que aumenta la exigencia de las características de respuesta del filtro (filtros muy abruptos). Efectos de longitud finita de palabra. En general, los coeficientes del filtro implementado serán distintos de los calculados teóricamente si la representación numérica que se utiliza para implementar el filtro no es de precisión infinita (punto flotante). No sólo influye la cuantización de los coeficientes del filtro, sino también el redondeo de las operaciones numéricas, la cuantización del conversor A/D y D/A, la truncación que ocurre al almacenar los contenidos del acumulador en memoria, etc. Estos efectos, que se modelan como fuentes de ruido de distribución uniforme, pueden limitar seriamente el desempeño de los filtros digitales: variaciones de ganancia en la banda de paso, menor atenuación en la banda de rechazo, y hasta pueden conducir a la inestabilidad en filtros recursivos de orden elevado. Tiempos de diseño y desarrollo prolongados. Los tiempos de diseño y desarrollo de un filtro digital, en especial el diseño del hardware puede ser muy superior al necesario para el diseño de un filtro analógico. Sin embargo, una vez que se dispone del hardware o el software necesario, la misma plataforma puede utilizarse para muchas otras tareas de filtrado o procesamiento digital de señales con poca o ninguna modificación. 3.3.3.2 Filtros FIR e IIR

Los filtros digitales lineales e invariantes en el tiempo pueden clasificarse de acuerdo a la longitud de su respuesta impulsiva ℎ[𝑛] como IIR, cuando la respuesta tiene duración

infinita o FIR, si su duración es finita. Esta respuesta impulsiva ℎ[𝑛], 𝑛 = 0, 1, 2, . .. caracteriza completamente el filtro, a punto tal que las señales de entrada y salida están relacionadas por la suma de convolución, que para filtros IIR toma la forma

𝑦[𝑛] = ∑ ℎ[𝑘]𝑥[𝑛 − 𝑘]∞𝑘=0 3.38

Y para filtros FIR es

𝑦[𝑛] = ∑ ℎ[𝑘]𝑥[𝑛 − 𝑘]𝑁−1𝑘=0 3.39

Del análisis de estas dos ecuaciones es evidente que, mientras que la suma convolución puede ser una forma apropiada para implementar un filtro FIR, no es adecuada para los filtros IIR debido a que la respuesta impulsiva es muy larga (en teoría, infinitamente larga). Por ello, los filtros IIR se implementan con ecuaciones a diferencia que permiten calcular las muestras de salida en forma recursiva

𝑦[𝑛] = − ∑ 𝑎𝑘𝑦[𝑛 − 𝑘] + ∑ 𝑏𝑘𝑥[𝑛 − 𝑘]𝑀𝑘=0

𝑁𝑘=1 3.40

Donde 𝑛 = 0, 1, 2, . . . , 𝑦[−1] = 0. El número 𝑁 es el orden del filtro, y fija la cantidad de

modos de la respuesta impulsiva. La relación entre los coeficientes 𝑎𝑖 y 𝑏𝑖 se obtiene aplicando la transformada Z a la expresión de IIR y anti transformando. En la ecuación del IIR la salida 𝑦[𝑛] es función de los valores actuales y pasados de la entrada, y de valores pasados de la salida (de ahí el nombre recursivo): el filtro IIR es un sistema realimentado. En cambio, en el filtro FIR la salida 𝑦[𝑛] sólo depende de los valores

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

94 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

pasados de la entrada 𝑥[𝑛]. Es evidente que, si en la ecuación de los filtros IIR los

coeficientes 𝑎𝑖 son nulos, se obtiene la suma convolución de los filtros FIR, pues hacer 𝑎𝑖 = 0 anula los efectos de realimentación de las salidas pasadas. Estas ecuaciones, y en particular el valor de los coeficientes ℎ[𝑛] para los filtros FIR y 𝑎𝑖, 𝑏𝑖 para los filtros IIR, son los objetivos del problema de diseño de filtros digitales. Las funciones de sistema están dadas por

𝐻𝐹𝐼𝑅(𝑧) = ∑ ℎ[𝑘]𝑧−𝑘𝑁−1𝑘=0 𝑦 𝐻𝐼𝐼𝑅(𝑧) =

∑ 𝑏𝑘𝑧−𝑘𝑀𝑘=0

∑ 𝑎𝑘𝑧−𝑘𝑁𝑘=0

3.41

Para filtros FIR e IIR, respectivamente, y resultan convenientes para el análisis de estabilidad, respuesta en frecuencia, etc. Los factores que influencian la elección entre distintas alternativas en cada etapa del diseño de filtro tienen mucho que ver con que el filtro sea FIR o IIR. Por ello resulta importante apreciar las diferencias entre ambos tipos de filtros, las características peculiares de cada uno, y, lo más importante, cómo elegir entre uno y otro. 3.3.3.3 Comparación entre filtros FIR e IIR

La elección entre una implementación FIR e IIR depende de las ventajas relativas de cada uno de estos dos tipos de filtros.

Los filtros FIR se pueden diseñar para tener una respuesta de fase estrictamente lineal (distorsión de fase nula), lo que es importante en muchas aplicaciones, como transmisión de datos, audio digital y procesamiento de imágenes. La respuesta de fase de filtros IIR no es lineal, en especial en cercanías de la zona de transición.

Los filtros FIR implementados de forma no recursiva, son inherentemente estables. En cambio, la estabilidad de los filtros IIR siempre debe comprobarse, ya que son sistemas realimentados.

Los efectos causados por la implementación con aritmética de punto fijo, tales como los errores de cuantización de los coeficientes y los errores por redondeo en las operaciones aritméticas, son mucho más severos en los filtros IIR que en los FIR.

Para satisfacer las especificaciones dadas los filtros FIR necesitan un mayor número de coeficientes que los filtros IIR, sobre todo si las bandas de transición son estrechas. En consecuencia, los requerimientos de memoria, el número de operaciones y los tiempos de procesamiento son mayores para los FIR que para los IIR. Sin embargo, la posibilidad de implementar los FIR mediante la técnica de convolución rápida usando FFT (Transformada de Fourier) y también el empleo de técnicas multirate permiten aumentar significativamente la eficiencia de las implementaciones.

Un filtro analógico convencional puede convertirse en un filtro digital IIR equivalente que satisfaga las especificaciones de diseño de manera sencilla. Esto no es posible con filtros FIR pues no tienen una contraparte analógica. Sin

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

95 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

embargo es más sencillo sintetizar filtros con respuestas en frecuencia arbitrarias utilizando filtros FIR.

De las características detalladas arriba puede esbozarse una guía tentativa para elegir entre una implementación FIR o IIR:

Si los únicos requerimientos importantes son bandas de transición estrechas (filtros con cortes muy abruptos) y eficiencia de cómputo, se prefieren filtros IIR pues necesitan un número de coeficientes mucho menor que un filtro FIR equivalente (especialmente si se eligen características frecuenciales elípticas o de Cauer).

Si el número de coeficientes del filtro no es muy elevado (por ejemplo, si las bandas de transición no son muy abruptas), y en particular, si se desea muy poca o ninguna distorsión de fase, se suele elegir filtros FIR. Los procesadores digitales modernos (DSP) están optimizados para implementar este tipo de filtros.

3.3.3.4 Diseño de filtros

El diseño de un filtro digital involucra los siguientes pasos:

Especificación de los requerimientos del filtro; Elección de una aproximación conveniente y cálculo de los coeficientes; Representación del filtro utilizando una estructura adecuada (realización); Análisis de los efectos de la longitud finita de palabra en el desempeño; Implementación en hardware o software.

Estos cinco pasos no son necesariamente independientes, ni necesitan seguirse en el orden descrito; actualmente, las técnicas de diseño disponibles combinan el segundo y parte del tercero y cuarto. Para lograr un filtro eficiente es necesario iterar entre las distintas etapas, especialmente si, como es habitual, las especificaciones de diseño dejan cierto grado de libertad al diseñador, o si se desean explorar otras alternativas de diseño. 3.3.3.5 Especificaciones de diseño

Los requerimientos incluyen las especificaciones de:

Las características de las señales: tipo de fuente de señal, interfaz de entrada-salida, velocidad de procesamiento, ancho de palabra, la mayor frecuencia de interés;

Las características del filtro: la respuesta en módulo y/o fase deseadas y sus tolerancias, la velocidad de operación, el modo de filtrado (en línea o fuera de línea);

La forma de implementación: como una rutina de alto nivel en una computadora, o un programa específico para un DSP;

Otras restricciones al diseño, como por ejemplo el costo del filtro.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

96 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Es posible que inicialmente el diseñador no cuente con toda la información necesaria para especificar completamente el filtro, pero cuanto más detalles se conozcan más sencillo será el proceso de diseño. Aunque algunos de los requerimientos discutidos arriba son dependientes de la aplicación, es necesario resaltar los aspectos referidos a las características del filtro. Frecuentemente, los requisitos del filtro digital se especifican en el dominio de la frecuencia, y para el caso de los filtros selectivos en frecuencia, estas especificaciones toman la forma de bandas de tolerancia, como muestra la Fig. 3.6 para el caso de un filtro pasa bajos. Las zonas de gris indican los límites de tolerancia. En la banda de paso, el módulo de la respuesta admite una variación máxima de ±𝛿𝑝, y en la banda de rechazo se pretende que la ganancia no

exceda 𝛿𝑠. Es frecuente especificar estas cotas en dB.

Figura 3.60 Bandas tolerancia para un filtro pasa bajos.

El ancho de la zona de transición determina qué tan abrupto es el filtro. En esta región se espera que el módulo de la respuesta en frecuencia decrezca monótonamente desde la banda de paso a la banda de rechazo. Los principales parámetros de interés son Desviación en la banda de paso: 𝛿𝑝,

Desviación en la banda de rechazo: 𝛿𝑠,

Frecuencia de corte de la banda de paso: 𝜔𝑝,

Frecuencia de corte de la banda de rechazo: 𝜔𝑠.

Las desviaciones con respecto a la respuesta deseada tanto en la banda de paso como en la banda de rechazo pueden expresarse en valores absolutos o en decibeles (dB), indicando la ondulación máxima (“ripple”) tolerada en la banda de paso, y la atenuación mínima exigida en la banda de rechazo: 𝐴𝑠 (Atenuación en la banda de rechazo): −20𝑙𝑜𝑔10𝛿𝑠

𝐴𝑝 (Ondulación en la banda de paso): 20𝑙𝑜𝑔10(1 + 𝛿𝑝)

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

97 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

En general, la respuesta de fase un filtro no se especifica tan detalladamente como el módulo de la respuesta en frecuencia. En muchos casos es suficiente indicar que importa la distorsión de fase, o que se pretende una respuesta de fase lineal. Sólo es necesario detallar la respuesta en fase deseada en aquellas aplicaciones donde los filtros se utilizan para compensar la respuesta en fase de un sistema.

CAPITULO 4

PICs 4. Introducción. Microchip es la empresa que fabrica los dispositivos PIC. En los últimos tiempos esta familia de microcontroladores ha revolucionado el mundo de las aplicaciones electrónicas. Tienen una facilidad de uso y programación tales, que junto a las inmensas posibilidades de E/S que brindan han conquistado a programadores y desarrolladores. Su principal ventaja (y según sus detractores la principal desventaja) es su carácter general, la flexibilidad que les permite ser empleados en casi cualquier aplicación. Otras familias de dispositivos programables son más eficaces en aplicaciones específicas. 4.1 Familia PICs La familia PIC se divide en cuatro gamas que podemos llamar mini, baja, media y alta. Las principales diferencias entre estas gamas radica en el número de instrucciones y su longitud, el número de puertos y funciones, lo cual se refleja en el encapsulado, la complejidad interna y de programación, y en el número de aplicaciones, como veremos a continuación.

Figura 4.61 Familia Pics (Rendimiento, Funcionalidad).

4.1.1 Gama mini

Con encapsulado de 8 pines, tiene como principal característica su reducido tamaño, al disponer todos sus componentes de solamente 8 pines. Se alimentan con un voltaje de corriente continua comprendido entre 2,5 V y 5,5 V, y consumen menos de 2 mA cuando trabajan a 5 V y 4 MHz. El formato de sus instrucciones puede ser de 12 o de

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

98 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

14 bits y su repertorio es de 33 o 35 instrucciones, respectivamente. En la figura 4.2 se muestra el diagrama de conexionado de uno de estos PIC.

Figura 4.62 Gama mini.

A pesar de tener solo 8 pines, se pueden destinar hasta 6 de ellos como E/S para los periféricos porque disponen de un oscilador interno R-C, lo cual es una de su principales características (los dos restantes corresponden a la alimentación). Los modelos 12C5xx pertenecen a esta gama, siendo el tamaño de las instrucciones de 12 bits; mientras que los 12C6xx son de la gama media y sus instrucciones tienen 14 bits. Los modelos 12F6xx poseen memoria Flash para el programa y EEPROM para los datos. Algunos modelos disponen de conversores Analógico/Digital de 8 bits incorporados. 4.1.2 Gama baja o básica

Consiste en una serie de PIC de recursos limitados, pero con una de la mejores relaciones costo/prestaciones de la familia. Sus versiones están encapsuladas con 18 y 28 patitas y pueden alimentarse a partir de una tensión de 2,5 V, lo que les hace ideales en las aplicaciones que funcionan con pilas teniendo en cuenta su bajo consumo (menos de 2 mA a 5 V y 4 MHz). Tienen un repertorio de 33 instrucciones cuyo formato consta de 12 bits. Los componentes de la gama baja se caracterizan por poseer los siguientes recursos: Sistema “Power On Reset”, Perro guardián (Watchdog o WDT), Código de protección, etc.

Figura 4.63 Gama baja.

4.1.3 Gama media

Es la más variada y completa de los PIC. Abarca modelos con encapsulado desde 18 hasta 68 pines, cubriendo varias opciones que integran abundantes periféricos. Dentro de esta gama se halla el famoso PIC16F84, quizás el modelo más utilizado en la historia de los microcontroladores, aunque ya se lo considera obsoleto. En esta gama sus componentes añaden nuevas prestaciones a las que poseían los de la gama baja, haciéndoles más adecuados en las aplicaciones complejas. Poseen comparadores de magnitudes analógicas, convertidores A/D, puertos serie y diversos temporizadores.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

99 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El repertorio de instrucciones es de 35, de 14 bits cada una y compatible con el de la gama baja. Sus distintos modelos contienen todos los recursos que se precisan en las aplicaciones de los microcontroladores de 8 bits. También dispone de interrupciones y una pila de 8 niveles que permite el anidamiento de subrutinas. Encuadrado en la gama media también se halla la versión PIC14C000, que soporta el diseño de controladores inteligentes para cargadores de baterías, pilas pequeñas, fuentes de alimentación y UPS y cualquier sistema de adquisición y procesamiento de señales que requiera gestión de la energía de alimentación. Los PIC 14C000 admiten cualquier tecnología de las baterías como Li-Ion, NiMH, NiCd, Ph y Zinc.

Figura 4.64 Gama Media.

4.1.4 Gama alta

Dispone de chips con 58 instrucciones de 16 bits en el repertorio y que disponen de un sistema de gestión de interrupciones vectorizadas muy potente. También incluyen variados controladores de periféricos, puertos de comunicación serie y paralelo con elementos externos, un multiplicador hardware de gran velocidad y mayores capacidades de memoria, que alcanza los 8 k palabras en la memoria de instrucciones y 454 bytes en la memoria de datos. Quizás la característica más destacable de los componentes de esta gama es su arquitectura abierta, que consiste en la posibilidad de ampliación del microcontrolador con elementos externos. Para este fin, algunos pines comunican con el exterior las líneas de los buses de datos, direcciones y control, a las que se pueden conectar memorias o controladores de periféricos. Esta facultad obliga a estos componentes a tener un elevado número de pines, comprendido entre 40 y 44. Esta filosofía de construcción del sistema es la que se empleaba en los microprocesadores y no suele ser una práctica habitual cuando se emplean microcontroladores. Esta gama se utiliza en aplicaciones muy especiales, con grandes requerimientos.

Figura 4.65 Gama alta

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

100 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

4.2 Arquitectura 4.2.1 Arquitectura John Von Neumann La arquitectura tradicional de computadoras y microprocesadores se basa en el esquema propuesto por John Von Neumann, en el cual la unidad central de proceso, o CPU, está conectada a una memoria única que contiene las instrucciones del programa y los datos (figura 4.6) El tamaño de la unidad de datos o instrucciones está fijado por el ancho del bus de la memoria. Es decir que un microprocesador de 8 bits, que tiene además un bus de 8 bits que lo conecta con la memoria, deberá manejar datos e instrucciones de una o más unidades de 8 bits (bytes) de longitud. Cuando deba acceder a una instrucción o dato de más de un byte de longitud, deberá realizar más de un acceso a la memoria. Por otro lado este bus único limita la velocidad de operación del microprocesador, ya que no se puede buscar de memoria una nueva instrucción, antes de que finalicen las transferencias de datos que pudieran resultar de la instrucción anterior. Es decir que las dos principales limitaciones de esta arquitectura tradicional son: a) Que la longitud de las instrucciones está limitada por la unidad de longitud de los datos, por lo tanto el microprocesador debe hacer varios accesos a memoria para buscar instrucciones complejas b) La velocidad de operación (o ancho de banda de operación) está limitada por el efecto de cuello de botella que significa un bus único para datos e instrucciones que impide superponer ambos tiempos de acceso. La arquitectura von Neumann permite el diseño de programas con código automodificable, práctica bastante usada en las antiguas computadoras que solo tenían acumulador y pocos modos de direccionamiento, pero innecesaria, en las computadoras modernas.

Figura 4.66 Arquitectura Von Neumann

4.2.2 La arquitectura Harvard y sus ventajas

La arquitectura conocida como Harvard, consiste simplemente en un esquema en el que el CPU está conectado a dos memorias por intermedio de dos buses separados. Una de las memorias contiene solamente las instrucciones del programa, y es llamada Memoria de Programa. La otra memoria solo almacena los datos y es llamada Memoria de Datos (figura 4.7) Ambos buses son totalmente independientes y pueden ser de distintos anchos. Para un procesador de Set de Instrucciones Reducido, o RISC (Reduced Instrucción Set Computer), el set de instrucciones y el bus de la memoria de

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

101 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

programa pueden diseñarse de manera tal que todas las instrucciones tengan una sola posición de memoria de programa de longitud. Además, como los buses son independientes, el CPU puede estar accediendo a los datos para completar la ejecución de una instrucción, y al mismo tiempo estar leyendo la próxima instrucción a ejecutar. Se puede observar claramente que las principales ventajas de esta arquitectura son: a) El tamaño de las instrucciones no está relacionado con el de los datos, y por lo tanto puede ser optimizado para que cualquier instrucción ocupe una sola posición de memoria de programa, logrando así mayor velocidad y menor longitud de programa. b) El tiempo de acceso a las instrucciones puede superponerse con el de los datos, logrando una mayor velocidad de operación. Una pequeña desventaja de los procesadores con arquitectura Harvard, es que deben poseer instrucciones especiales para acceder a tablas de valores constantes que pueda ser necesario incluir en los programas, ya que estas tablas se encontraran físicamente en la memoria de programa (por ejemplo en la EPROM de un microprocesador).

Figura 4.67 Arquitectura Harvard.

Los microcontroladores PIC 16C5X, 16CXX y 17CXX poseen arquitectura Harvard, con una memoria de datos de 8 bits, y una memoria de programa que, según el modelo, puede ser de 12 bits para los 16C5X, 14 bits para los 16CXX y 16 bits para los 17CXX. 4.2.3 Mapas de memoria Interna (RAM)

La memoria interna de datos, también llamada archivo de registros (register file), está dividida en dos grupos: los registros especiales, y los registros de propósito generales. Los primeros ocupan las 11 posiciones primeras que van desde la 00 a la 0B, y los segundos las posiciones que siguen, o sea de la 08 a la 4F. Los registros especiales contienen la palabra de estado (STATUS), los registros de datos de los tres puertos de entrada salida (Puerto A, Puerto B, Puerto C), los 8 bits menos significativos del programcounter (PC), el contador del Real Time Clock/Counter (RTCC) y un registro puntero llamado File Select Register (FSR). La posición 00 no contiene ningún registro en especial y es utilizada en el mecanismo de direccionamiento indirecto. Los registros de propósito general se dividen en dos grupos: los registros de posición fija y los bancos de registros. Los primeros ocupan las 8 posiciones que van de la 08 a la 0F. Los bancos de registros consisten en hasta cuatro grupos o bancos de 16 registros cada uno, que se encuentran superpuestos en las direcciones que van de la

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

102 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

10 a la 1F. Se puede operar con un solo banco a la vez, el cual se selecciona mediante los bits 5 y 6 del File Select Register (FSR).

Indirect addr. Indirect addr.1 1

TMR0PCL

STATUS

FSR

PORTAPORTB

EEDATA

EEADR

PCLATHINTCON

OPTION

PCLSTATUS

FSR

TRISA

TRISB

EECON1EECON2(1)

PCLATH

INTCON

File Address File Address

00h

01h02h03h

04h05h

06h07h08h

09h0Ah0Bh0Ch

4Fh50h

7Fh

Bank 0 Bank 1

80h81h

82h

83h84h

85h86h

87h

88h89h

8Ah

8Bh

CFh

D0h

FFh

8Ch68GeneralPurposeRegisters(SRAM)

Mapped(accesses)In Bank 0

Unimplemented data memory location; read as ’0'.Note 1: Not a physical register

Figura 4.68 Organización de la memoria Interna (RAM) en la familia PIC16C5X Memoria de Programa.

4.2.4 Organización de la memoria de programa

La memoria de programa, que en los PIC16C5X puede ser de 512 a 2K instrucciones, debe ser considerada a los efectos de la programación, como compuesta por secciones o páginas de 512 posiciones. A su vez cada página debe considerarse dividida en dos mitades de 128 posiciones cada una. Esto se debe, como se verá, a las limitaciones de direccionamiento de las instrucciones de salto.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

103 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Peripheral Interrupt Vector

Reset Vector

Stack Level 8

Stack Level 1

PC<12.0>

.

.

CALL. RETURN

Use

r M

emo

ry S

pac

e

1FFFh

3FFh

0004h

0000h

12 8 0

PC

7PCH PCL

GOTO CALL

Opcode<10.0>PCLATH<4 3>2

85

PCLATH

PCLATH<4.0>ALU result

INSI with PCIas dest

11

12 8 07PCH PCL

PCLATH

11 10

13

PC

Figura 4.69 Organización de la memoria de Programa y Registra PcL.

4.2.5 Registros de funciones especiales Camino de los datos y registro W

La figura 4.10 representa un diagrama simplificado de la arquitectura interna del camino de los datos en el CPU de los microcontroladores PIC. Se puede observar la ubicación del registro de trabajo, que para los PIC’s se denomina W (Working Register), y para los tradicionales es el Acumulador (A). En los microcontroladores tradicionales todas las operaciones se realizan sobre el acumulador. La salida del acumulador está conectada a una de las entradas de la Unidad Aritmética y Lógica (ALU), y por lo tanto éste es siempre uno de los dos operandos de cualquier instrucción. Por convención, las instrucciones de simple operando (borrar, incrementar, decrementar, complementar), actúan sobre el acumulador. La salida de la ALU va solamente a la entrada del acumulador, por lo tanto el resultado de cualquier operación siempre quedara en este registro. Para operar sobre un dato de memoria, luego realizar la operación siempre hay que mover el acumulador a la memoria con una instrucción adicional.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

104 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Registro W

Instrucciones Literales

8-bit Literales

Archivo de Registro

ALU

8

d='0' or

8d bit, o de Instruccion

d='1'

8

8

de Instruccion de palabra

8 bit registro de valor

Instruccion de direccion directa o indirecta

Funcion de Registros especiales (SFR) y de proposito general

RAM (GPR)

Figura 4.70 Registros de funciones especiales.

4.3 DSPIC

Figura 4.71 dsPIC. (Controlador digital de señal).

4.3.1 Introducción

Microchip, fabricante de los DSPIC, los ha bautizado con el nombre de DSC (Digital Signal Controller), que puede ser traducido como Controlador Digital de Señal. Los Procesadores Digitales de Señales o DSP surgieron cuando la tecnología permitió su fabricación y las características de las aplicaciones lo necesitaron. La telefonía móvil, la electro medicina, la robótica, las comunicaciones, las reproducción y el procesamiento del sonido y la imagen, Internet, el control de motores, la instrumentación, son algunas de las áreas típicas de los DSP. Cuando los microprocesadores y microcontroladores de 8, 16, 32 bits no fueron capaces de resolver eficientemente las tareas que el procesamiento digital de señales exigía se reforzaron sus arquitecturas, se amplió el repertorio de instrucciones y se les incorporaron numerosos periféricos complementarios para dar lugar a los DSP. 4.3.2 Qué es un dsPIC

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

105 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Los dsPIC nacen después de que los DSP hayan sido desarrollados durante años. En su diseño han participado expertos y especialistas de muchas áreas. Los dsPIC se han aprovechado de la experiencia acumulada por otros fabricantes. Los DSC (Controlador Digital de Señal.) poseen todos los recursos de los mejores microcontroladores embebidos de 16 bits conjuntamente con las principales características de los DSP, permitiendo su aplicación en el extraordinario campo del procesamiento de las señales analógicas y digitalizadas. Un DSC ofrece todo lo que se puede esperar de un microcontrolador: velocidad, potencia, manejo flexible de interrupciones, un amplio campo de funciones periféricas analógicas y digitales, opciones de reloj, protecciones, perro guardián, seguridad del código, simulaciones en tiempo real, etc. 4.3.3 Principales características de los dspic

Entre las aportaciones típicas de los DSP que se han implementado en la arquitectura básica de los DSPIC destacan:

Multiplicación MAC 16 x 16 en un ciclo. 2 acumuladores de 40 bits. Registro de desplazamiento de 40 bits para el escalado. Acceso simultáneo de dos operandos. Bucles con estructura DO y REPEAT. Bloque de registros de trabajo. Juego flexible de interrupciones. Perro guardián. Emulación en tiempo real. Optimización para programación en lenguaje C.

4.3.4 Familias y Características.

Los DSC se comercializan en diferentes dispositivos agrupados en dos grandes familias:

Familia dsPIC30F. Familia dsPIC33F.

4.3.5 Características generales de los DSPIC30F.

Tabla 4.12 Características generales de los dsPIC.

Recursos Rango de Valores

Memoria de Programa FLASH 12kb – 144 kb

Memoria de Datos RAM 512 bytes – 8 kb

Memoria de Datos EEPROM 1kb – 4 kb

Patillaje de Encapsulado 18-80 patitas

Temporizador de 16 bits Hasta 5

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

106 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Módulo de captura Hasta 8 entradas

Módulo Comparador / PWM Hasta 8 salidas

Módulo PWM de control de Motores De 6 a 8

Conversor A/D de 10 bits 500 kbps, hasta 16 canales

Conversor A/D de 12 bits 100 kbps, hasta 16 canales

UART 1-2

SPITM (8 – 16 bits) 1-2

I2CTM 1 Módulo

QEI 1

Interfaz CODEC 1

CAN 1-2

El voltaje de alimentación admite un rango comprendido entre 2,5 y 5,5 VDC. Se tolera una temperatura interna entre -40° y 85° C y una externa entre -40° y 125° C. El rendimiento alcanza los 30 MIPS cuando el voltaje de alimentación tiene un valor entre 4,5 y 5,5 VDC. En cuanto a la arquitectura de la CPU los dsPIC30F se sustentan en un núcleo RISC (del inglés reduced instruction set computer, Computación de Set de Instrucciones Reducidas) con arquitectura Harvard mejorada. Actuando como soporte central de información existe un banco de 16 registros de 16 bits cada uno; se dispone de un bus de datos de 16 líneas y otro de instrucciones de 24. Para potenciar la velocidad de las operaciones aritméticas complejas existe un “Motor DSP” que contiene un multiplicador hardware rápido de 17 X 17 bits, dos acumuladores de 40 bits y un robusto registro de desplazamiento. La memoria de programa, tipo FLASH, puede alcanzar un tamaño de 4 M instrucciones de 24 bits cada una, aunque actualmente sólo hay modelos con una capacidad máxima de 256 K bytes. La memoria de datos SRAM puede alcanzar 32K posiciones de 16 bits, aunque en los modelos actuales sólo se llega a la mitad. La memoria de datos se divide en dos espacios, X e Y, que pueden ser accedidos simultáneamente en las operaciones matemáticas DSP. Toda esta estructura admite operaciones MCU y operaciones DSP con un repertorio de 84 instrucciones. Las secciones MCU y DSP cooperan en el funcionamiento general y comparten el flujo de instrucciones de los DSC. Los recursos específicos del Motor DSR además de soportar las operaciones DSP permiten implementar nuevas y potentes instrucciones MCU. Para reducir el tiempo de ejecución de algunas instrucciones DSP la memoria de datos SRAM se divide en dos espacios X e Y que pueden ser accedidos a la vez. Otra característica importante en los dsPIC30F es la de admitir hasta 45 fuentes distintas de petición de interrupción con 7 niveles de prioridad, de las cuales 5 son externas. Hay modelos de dsPIC30F que disponen de hasta 54 patitas de E/S programables y con otras funciones multiplexadas con un consumo de 25 mA cada una. Los dispositivos dsPIC33F como disponen de más periféricos también admiten más causas de interrupción. Se puede encontrar una gran variedad de periféricos en la familia dsPIC30F como temporizadores, conversores AD, módulos de captura y comparación, módulos PWM para el control de motores, módulos de comunicación PC, SPI, CAN, UART, DCI, etc.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

107 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Los dsPIC33F además contienen un Controlador de DMA (del inglés direct memory Access o acceso directo a memoria). También disponen de potentes herramientas para la gestión del sistema (Perro Guardián, Monitor de fallo de reloj, temporizadores para la estabilización del voltaje de alimentación y la frecuencia, etc.), así como dispositivos para controlar el consumo de energía (modos de bajo consumo Idle y Sleep, detección de voltaje bajo, etc.). 4.3.6 Encapsulados y diagramas de conexiones.

La finalidad de soportar todo tipo de diseños y necesidades los modelos dsPIC30F está encapsulados desde 18 hasta 80 patitas, algunos con doble hilera de patitas, tipo PDIP y SPDIP y SOIC. Otros están preparados para el montaje superficial como los tipos TQFP (del Inglés Thin Quad Flat Package. encapsulado cuadrado plano delgado). La nomenclatura de los dsPIC30F formada por números y letras expresan las especificaciones particulares y su significado se describe en la Figura 4.13. El diagrama de conexiones para los miembros de esta familia lo ha diseñado Microchip para propiciar la migración hacia modelos superiores y con más patitas, para lo cual ha mantenido la máxima compatibilidad en su posición. Se ha favorecido la compatibilidad “pinout” o distribución del patillaje de los PIC MCU con los PIC DSC, también la de las instrucciones, la de las herramientas de desarrollo y la de las patitas de E/S correspondientes a los periféricos de los dos tipos de PIC.

Figura 4.72 Nomenclatura dsPIC.

4.3.7 La familia DSPIC33F

El voltaje de alimentación admite un rango comprendido entre 2 y 3,6 VDC. El rango de temperatura idéntico a los dsPIC30F. El rendimiento máximo alcanza los 40 MIPS cuando el voltaje de alimentación tiene un valor de 3,3 VDC. Los aspectos más destacables que incorporan los dsPIC33F son la ampliación en el número de patas de E/S, la capacidad de la memoria FLASH que alcanza 256 KB, se mantienen los periféricos, la disponibilidad del doble de interrupciones, SRAM de hasta 30 KB. No disponen de memoria EEPROM. Tienen un nuevo modo de bajo consumo DOZE y un

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

108 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

nuevo Controlador DMA de 8 canales, que para no utilizar a la CPU en la transferencia de datos entre periféricos y la memoria. Tabla 4.13 Características más importantes de los dsPIC33FJ

Recursos Rango de Valores

Memoria de Programa FLASH Hasta 256 KB

Memoria de Datos RAM Hata 30 KB

Memoria de Datos EEPROM No dispone

Temporizador de 16 bits Hasta 9

Módulo de captura Hasta 8 entradas

Módulo Comparador / PWM Hasta 8 salidas

Conversor A/D de 10 bits 2.2 Mbps, hasta 32 canales

Conversor A/D de 12 bits 1 Mbps, hasta 32 canales

UART Hasta 2 Módulos

SPITM (8 – 16 bits) Hasta 2 Módulos

I2CTM Hasta 2 Módulos

QEI 1

Interfaz CODEC 1

CAN Hasta 2 Módulos

4.3.8 Dispositivos dsPIC33F de propósito general

Los dsPIC33F de propósito general son ideales para una amplia gama de avanzadas de MCU de 16 bits embebidos y en concreto, las variantes con interfaces CODEC son idóneas para aplicaciones de tratamiento de la voz y audio. Este grupo está formado por 15 modelos diferentes. 4.3.9 Dispositivos dsPIC33F de control de motores y sistemas de alimentación

La categoría de control de motores ofrece una gran variedad de aplicaciones relacionadas con el gobierno de motores, como los de inducción, de fase simple o trifásica y los de corriente continua. También son muy apropiados para la gestión de los sistemas de alimentación interrumpibles, conversores, módulos para la corrección del factor de potencia, telecomunicaciones u otro equipamiento industrial. Este grupo está formado por 12 modelos diferentes. 4.3.10 Diferencias entre dsPIC30F y dsPIC33F

A pesar de ser muy similares, existen algunas diferencias apreciables entre ambos DSC. El rango de voltaje soportado por cada uno es diferente, así como el voltaje óptimo para su mejor rendimiento. Los dsPIC30F tienen como tensión nominal 5VDC y los dsPIC33F 3,3VDC. También difieren en las patas de E/S y la memoria FLASH que alcanza 144KB en los 30F y 256KB en los 33F. Los 33F carecen de EEPROM, pero su SRAM puede llegar a 30KB,

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

109 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

mientras que los 30F no superan los 8KB. Finalmente, los dsPIC33F disponen del doble de interruptores y un controlador de DMA. Tabla 4.14 Diferencias entre dsPIC30FJ y dsPIC33FJ.

dsPIC30 DsPIC33F

26 modelos disponibles 27 modelos disponibles

- Controlador de DMA (8 canales)

5 Temporizadores 9 Temporizadores

Modos de trabajo con baja energía: 1. IDLE 2. SLEEP

Modos de trabajo con baja energía: 1. IDLE 2. SLEEP 3. DOZE (modo de frecuencia mas baja)

Alimentación de 2 a 5,5 V Alimentación de 2 a 3,6 V

Rendimiento: 30 MIPS a 4.5 o 5.5 V Rendimiento: 40 MIPS a 3.3 V

Pocos vectores de interrupción (62) Muchos vectores de interrupción (118)

Memoria EEPROM -

Memoria FLASH de 144 KB Memoria FLASH de 256 KB

Memoria SRAM de 8 KB Memoria SRAM de 30kb

Abundantes periféricos Más periféricos

4.3.11 Aplicaciones Recomendadas

Se puede enumerar los siguientes grupos de aplicaciones: a) Control de motores.

Bombas industriales. Lavadoras y aspiradoras. Equipos de gimnasia. Tensores de cinturones de seguridad. Calefacción, ventilación y aire acondicionado Refrigeración. Herramientas eléctricas. Apertura de puertas. Control de estabilidad.

b) Control de sensores.

Sensores de torsión. De presión. De vibraciones. De golpes. De lluvia. De fallos en la red eléctrica. De rotura de cristales. Sensores químicos y de gases.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

110 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Detección PIR avanzada 2-D.

c) Automoción.

Control de potencia. Control de estabilidad y balanceo. Caja de cambios y embrague Dirección hidráulica asistida Airbag. Control de ignición. Sensor de presencia de ocupantes. Control del combustible.

d) Conectividad en internet.

Monitorización remota. Contadores automáticos de agua y gas. Instrumentación médica remota. Sistemas de seguridad. Máquinas expendedoras. Diagnóstico industrial remoto.

e) Audio.

Reconocimiento de voz. Altavoces telefónicos. Redes distribuidas de megafonía. Efectos especiales en instrumentos musicales. Cancelación de ruidos. Reproducción de sonido digital. Auriculares para la eliminación de ruidos. Puertos de comunicación para manos libres.

4.4 dsPIC33FJ12GP202. El dsPIC33FJ12GP202 es un controlador digital de señal de alto rendimiento de 16 bits encapsulado dentro de un pequeño paquete de 28-pin.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

111 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 4.73 Pines dsPIC33FJ12GP202.

4.4.1 Unidad aritmética lógica (ALU).

La ALU dsPIC33FJ12GP201/202 es de 16 bits y es capaz de sumar, restar, cambios de bits y las operaciones de lógica. A menos que se indique lo contrario, las operaciones aritméticas son complemento a 2. Dependiendo de la operación, la ALU puede afectar a los valores del Carry (C), cero (Z), Negativa (N), de desbordamiento (OV) y Digit Carry (DC). Los bits de estado en el registro SR. La ALU puede realizar operaciones de 8-bits o 16-bits, dependiendo del modo de la instrucción que se utiliza. Los datos correspondientes a la operación de ALU pueden provenir del registro W la memoria de matriz o de datos, según el modo de direccionamiento de la instrucción. Igualmente, la salida datos de la ALU se puede escribir en la matriz de registro W o una ubicación de memoria de datos. La CPU dsPIC33FJ12GP201/202 incorpora el hardware apoyo a la multiplicación y división. Este incluye un multiplicador hardware dedicado y soporte de hardware para la división de 16-bit. 4.4.2 Descripción general del motor DSP.

El motor cuenta con un DSP de alta velocidad 17-bit a 17-bits multiplicador, un ALU 40-bits. Las instrucciones DSP funcionan a la perfección con todas las instrucciones y otros se han diseñado para un óptimo rendimiento en tiempo real. La instrucción MAC (siglas en inglés de media access control; en español control de acceso

al medio) y otras instrucciones relacionadas al mismo tiempo pueden traer dos operandos de datos de la memoria mientras que la multiplicación de dos registros W y la acumulación y opcionalmente el resultado en el mismo ciclo. Esta funcionalidad de instrucción requiere que la RAM de datos divida el espacio para estas instrucciones. En el mercado. Existen microcontroladores de 8 bits que corren a 120 MIPS, como los scenix, y otros de 16 bits que corren por encima de los 1000 MIPS como los de texas instrument y sus últimos TMS, pero en nuestro medio tenemos a disposición microcontroladores de la empresa Microchip también se encuentran de la marca Motorola pero en menor variedad.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

112 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 4.74 Microcontroladores Texas instrument. 4.5 Módulos. El dsPIC es una máquina RISC Harvard modificada que combina las ventajas de control de un microcontrolador de alto rendimiento de 16 bits con la alta velocidad y el hardware de computación de un procesador de señal digital, DSP, para producir una solución eficiente para el diseño de sistemas integrados. Todos los DSC dsPIC integran módulos que facilitan las diferentes aplicaciones se pueden mencionar los siguientes, módulos PWM para el control de motores, módulos de comunicación PC, SPI, CAN, UART, DCI, módulos conversor A/D, módulos encoder etc. 4.5.1 Módulo UART en el dsPIC.

Posiblemente el módulo más utilizado en la mayoría de proyectos de control sea el expuesto en este punto este módulo se encarga de gestionar y controlar las comunicaciones. Contiene las funciones necesarias para llevar a cabo una comunicación. Este sistema de comunicación trabaja sobre un canal con tres hilos: transmisor, receptor y referencia. Este sistema se encuadra dentro de los mecanismos de comunicación punto a punto, es decir, un transmisor y un receptor, no se configura un bus de comunicaciones en el que varios dispositivos están intercomunicados. Otra de las características importantes es que es un sistema digital que funciona en banda base, lo que significa que la comunicación se realiza a base de pulsos por el canal. Además, es lógica negativa por lo que para transmitir un „1‟ se envía un pulso negativo de unos 15V, y para enviar un „0‟ se emite un pulso positivo de unos 15V. Por otro lado, como su propio nombre indica, la comunicación por puerto serie consiste en enviar los bits de datos en serie, es decir, de forma consecutiva uno detrás de otro. Como cualquier sistema de comunicación, tanto receptor como emisor deben hablar el mismo “idioma”. Esto se consigue definiendo los parámetros de la comunicación. Los críticos son: velocidad, bit de paridad, bits de stop. 4.5.2 Módulo I/O.

Este módulo tiene dos finalidades concretas. Por un lado, sirve para leer el valor de un pin digital (0 ó 1) o escribir un valor digital en el pin deseado, y por otro, sirve de base y herramienta para futuros módulos, tales como el de pwm o de comunicaciones. Al tratarse de un módulo digital todos los valores empleados se reducen a dos, 0 digital o 0V, y 1 digital o 5V.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

113 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Puede dividirse este módulo en dos partes bien diferenciadas:

OUTPUT: configurar un pin como salida digital y poner dicho pin al valor (0/1) deseado.

INPUT: configurar un pin como entrada digital y leer el valor (0/1) de dicha entrada.

Para llevar a cabo este proceso, el microcontrolador dsPIC33Fj. Tiene tres registros asociados a cada pin que permiten el control de los pines cuando trabajan como entradas/salidas digitales. Estos registros son:

TRIS: registro asociado a cada puerto del micro que permite configurar sus pines como entradas (1) o salidas (0). Son registros de lectura y escritura.

LAT: registro asociado a cada puerto del micro que permite establecer un valor (0/1) en los pines configurados como OUTPUTs.

PORT: registro asociado a cada puerto del micro que permite leer un valor (0/1) de los pines configurados como INPUTs.

4.5.3 Módulo Conversor A/D.

La conversión analógico-digital consiste en tomar muestras de una señal analógica y convertir esos valores analógicos muestreados en valores digitales. Se tienen los registros para su configuración y puesta en funcionamiento que son los siguientes: 4.5.3.1 ADPCFG.

Este registro contiene los 6 bits asociados a cada uno de los pines del puerto B de un dsPIC30F3010 y permite su configuración como pin analógico. Es importante resaltar que para realizar conversiones A/D no sirve únicamente con configurarlo como analógico. Además el pin debe ser configurado como entrada (bit del registro TRIS a „1‟). 4.5.3.2 ADCBUF0.

Es el registro donde se guarda el resultado de una conversión AD es de 12 bits en realidad, hay más de un registro ADCBUF (ADCBUF1, ADCBUF2). 4.5.4 Módulo PWM.

La modulación por ancho de pulsos de una señal es una técnica en la que se modifica el ciclo de trabajo de una señal periódica, típicamente una onda cuadrada, ya sea para transmitir información a través de un canal de comunicaciones o para controlar la cantidad de energía que se envía a una carga. La construcción típica de un circuito PWM se lleva a cabo mediante un comparador con dos entradas y una salida. Una de las entradas se conecta a un oscilador de onda triangular, mientras que la otra queda disponible para la señal moduladora. En la salida

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

114 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

la frecuencia es generalmente igual a la de la señal triangular, y el ciclo de trabajo está en función de la portadora, en cualquier señal de PWM es necesario controlar dos parámetros fundamentales:

T = Período de la señal. DC = Duty cycle o ciclo de trabajo. Tiempo que la onda permanece en nivel alto.

A partir de estos dos valores la señal queda perfectamente determinada, y como se puede deducir a mayor ciclo de trabajo, mayor es el valor medio de la onda. Esto hace posible que variando únicamente este parámetro se pueda modificar la velocidad de un motor o la posición de un servo. Para llevar a cabo esta función los dsPIC cuentan con los registros adecuados para configurar el módulo PWM.

CAPITULO 5

UTILITARIOS PARA EL DISEÑO 5. Introducción 5.1 Proteus Proteus es un entorno diseñado para la simulación, construcción de equipos electrónicos en todas sus etapas. Proteus Sistema Virtual de Modelado (VSM) combina el modo mixto en la simulación de circuito de SPICE, componentes animados y modelos completos de diseños basados en microprocesadores para facilitar la simulación de microcontroladores. El software Proteus es una aplicación CAD (Aplicación de diseño) que está compuesto de tres módulos, ISIS (Intelligent Schematic Input System) módulo de captura de esquemas, ARES (Advanced Routing Modelling) módulo para realizar circuitos impresos y el VSM módulo virtual de simulación. 5.1.1 Interfaz de usuario 5.1.1.1 ISIS

Al iniciar ISIS, se presenta la ventana de diseño de la figura 5.1 en la que se aprecian dos zonas, en la izquierda un visor del plano del proyecto, debajo la ventana para mostrar dispositivos y a la derecha la zona de trabajo propiamente dicha, en la que el diseñador realizara los circuitos electrónicos con sus respectivos componentes, posteriormente podrá ejecutar un modelo virtual que simula el proyecto electrónico.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

115 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.75 Ventana del módulo ISIS

En la columna de la izquierda se da un doble-click sobre el botón P que nos antepone la ventana de librerías, donde se elige el dispositivo que se requiere para la simulación, eligiendo otros componentes del mismo modo, lo que enviará el dispositivo seleccionado a la ventana principal. Proteus incorpora una librería de más de 6.000 modelos de dispositivos digitales y analógicos. 5.1.1.2 ARES

Proteus VSM tiene la capacidad de pasar el diseño de ISIS a un programa integrado llamado ARES (figura 5.2) en el cual se puede llevar a cabo el desarrollo de placas de circuitos impresos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

116 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.76 Ventana del módulo Ares

5.1.1.3 VSM

Virtual SystemModelling, es el módulo de simulación.

Figura 5.77 Ventana del módulo VSM

ISIS es el módulo de captura y simulación de esquemas, tiene varios objetivos:

Simular el circuito para verificar el correcto funcionamiento. Obtener una buena representación gráfica del circuito electrónico. Generar una placa de circuito impreso del esquema capturado en Ares.

En la figura 5.4 se presenta el entorno laboral de ISIS donde se puede apreciar sus componentes.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

117 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.78 Componentes del módulo ISIS

Barra de título: En ella se muestra el icono del programa, el nombre del fichero abierto y la leyenda ISIS Profesional. Barra de menús: Permite el acceso a las opciones del programa, algunas opciones están disponibles en los iconos de las barras de herramientas. Barra de herramientas: Son numerosas, el usuario las puede colocar en distintas posiciones de los bordes de la ventana y son de suma importancia, ya que con ellos se puede seleccionar la opción indicada para realizar el diseño. Barra de estado: En esta barra se muestran mensajes informativos acerca de las opciones del menú, el estado de los componentes en las simulaciones. Indica las coordenadas del cursor, las unidades son en milésimas de pulgada. Zona de trabajo: Lugar donde se creara el diseño.

Zona de trabajo

Lista de componentes

Ventana de vista

completa

Barra de títulos

Barra de menús

Barra de herramientas

Barra de estados

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

118 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Ventana de vista completa: Aquí se muestra una visión global del diseño, y mediante el puntero se puede seleccionar que zona del diseño estará visible en la ventana de edición. Lista de componentes: En esta lista aparecen todos los componentes, terminales, pines, generadores, microcontroladores, resistencias, etc. Que se requieren para la construcción de un esquema, esta ventana dispone de 2 botones que nos permite acceder a las librerías de componentes incluidas en ISIS. 5.1.2 Captura de esquemas 5.1.2.1 Uso del módulo ISIS

Una vez iniciado el módulo ISIS se debe seleccionar el componente que se va a utilizar. Para esto se da doble-click sobre el icono P que abrirá una ventana como la que se muestra en la figura 5.5, en la ventana desplegada se observan tres columnas con distintas funciones cada una. En la columna de la izquierda se selecciona el dispositivo a utilizar, en la mitad las características, marca en la columna de la derecha los pines y forma para la placa del circuito impreso.

Figura 5.79 Lista de componentes que posee Proteus.

5.2 MIKROC for dsPIC MikroC PRO for dsPIC 4.1 organiza las aplicaciones de los proyectos que consisten en un solo fichero del proyecto (fichero con extensión .mcppi) o en uno o más ficheros fuentes (ficheros con extensión .c). Los ficheros fuentes son denominados cabeceras en el lenguaje de programación mikroC for dspic 4.1. Un fichero de proyecto contiene lo siguiente:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

119 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Nombre del proyecto y la descripción opcional; Dispositivo destino (tipo de microcontrolador) utilizado; Frecuencia de reloj del microcontrolador; Lista de ficheros fuentes de proyecto; Ficheros binarios (*.mcl); y Otros ficheros (.hex).

5.2.1 Interfaz de usuario

Antes de crear un nuevo proyecto, es necesario hacer lo siguiente: Paso 1: Instalar el compilador Paso 2: Iniciar el compilador Al iniciar el compilador mikroC PRO for dsPIC 4.1 realizando doble clic en el icono apropiado aparecerá mikroC PRO for dsPIC IDE 4.1 en la pantalla. Para crear un nuevo proyecto en Mikroc for dsPic. En la barra de menú en Project se selecciona la opción New Project muestra en la Figura 5.6

Figura 5.80 Nuevo Proyecto (New Proyect.)

A continuación aparece la ventana de la figura 5.7 donde se selecciona el tipo de pic a utilizar, se hace doble Click en Next.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

120 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.81 Selección del tipo de Pic

En la siguiente ventana la frecuencia del reloj del PIC en Mhz, se hace doble Click en Nex

Figura 5.82 Selección de la Frecuencia

El nombre del proyecto y la ruta donde se guardara, se hace doble Click en Next

Figura 5.83 Ruta del proyecto

Si el nuevo proyecto consiste en varios ficheros fuentes, se necesita especificarlos y hacer click en el botón Add para incluirlos. En la mayoría de los casos no hay ficheros fuentes adicionales.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

121 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.84 Nombre del Proyecto

En el siguiente paso se selecciona las librerías que se van a utilizar para crear el programa. Por lo general se selecciona cargar todas las librerías por defecto.

Figura 5.85 Selección de librerías

Por último es necesario confirmar todas las opciones seleccionadas anteriormente, dando doble-click en finish.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

122 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.86 Confirmación de Opciones

Después de crear el proyecto, aparecerá una ventana en blanco donde se deberá escribir el programa fuente en lenguaje C para luego compilarlo.

Figura 5.87 Ventana de Mikro Pro for dsPic 4.1

Una vez que se ha creado el programa es necesario compilarlo en un código de programa hexadecimal (.hex) para esto se seleccione una de las opciones de compilación del menú Project:

Para crear un fichero HEX seleccione la opción Build (Ctrl+F9) del menú Project o hacer click en el icono Buildde la barra de herramientas.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

123 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

La opción Build All Projects (Shift+F9) compila todos los ficheros del proyecto, librerías (si hay código fuente para ellas) y los ficheros para el microcontrolador utilizado.

Todos los errores detectados durante la compilación aparecerán en la ventana Message. Si no hay errores, mikroC PRO for dsPIC generará ficheros de salida, en caso contrario indicas las líneas de programación en las que se ha producido el error. El proceso de programación del Pic (dspic 33fj12gp202, 18f452) se realiza con el programador PicKit2 de Microchip. 5.2.2 Manejo de Interrupciones en Mikroc for dsPic

Una interrupción es un evento que requiere, detener la ejecución normal del programa y a continuación ejecutar un código de programación relacionada con el hecho causante de la interrupción. Las interrupciones pueden ser internas (por algún evento dentro del chip) o externas. Las interrupciones son necesarias en aplicaciones, donde se requiere la atención inmediata del Pic. El PIC18F452 tiene varias fuentes de interrupciones internas como externas. Las fuentes para que se produzcan interrupciones son:

Las interrupciones externas INT0, INT1, INT2. (puerto B) Sobre flujo del Timer0.

Las fuentes de interrupción periféricas:

Parallel slave port read/write interrupt A/D conversion complete interrupt USART receive interrupt USART transmit interrupt Synchronous serial port interrupt CCP1 interrupt CCP2 interrupt TMR0 overflow interrupt TMR1 overflow interrupt TMR2 overflow interrupt Timer 3 overflow interrupt Comparator interrupt EEPROM/FLASH write interrupt Low-voltagedetect interrupt

Cada fuente de interrupción (excepto INT0) tiene tres bits para controlar su funcionamiento. Estos bits son:

Un bit de bandera para indicar si una interrupción ha ocurrido. Este bit tiene un nombre que terminan en…IF.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

124 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Un bit para habilitar o deshabilitar la fuente de interrupción. Este bit tiene el nombre que termina en…IE.

Un bit para seleccionar si es de alta o baja prioridad. Este bit tiene el nombre que termina en...IP.

Registro RCON El bit superior del registro RCON, llamado IPEN, es usado para habilitar la interrupción de acuerdo a los niveles de prioridad, o para deshabilitar la interrupción. Para que una interrupción sea aceptada por el Pic se deben cumplir las siguientes condiciones:

1. La interrupción debe estar habilitada en los bits de registro. Por ejemplo, si la fuente de interrupción es INT0, entonces INT0IE del registro INTCON debe ser establecido en 1.

2. La bandera de la interrupción debe ser puesta en cero. Por ejemplo, si el fuente de interrupción es INT0 pin de interrupción externa, INT0IF de registro INTCON se borra a 0.

3. La interrupción debe ser activada/desactivada con PEIE de INTCON, este bit se debe poner 1 si la fuente de la interrupción es externa.

4. En el bit GIE of INTCON habilita/deshabilita todas las interrupciones del Pic, para habilitar las interrupciones este bit debe estar en 1.

Registro RCON

R/W-0 U-0 U-0 R/W-1 R-1 R-1 R/W-0 R/W-0

IPEN - - RI TO PD POR BOR

Bit 7IPEN habilita y deshabilita interrupciones globales 1 = habilita las interrupciones por prioridad 0 = deshabilita las interrupciones por prioridad Bit 6-5 indeterminado se lee cero Bit 4 RI bandera de la instrucción reset 1= la instrucción reset no ha sido ejecutada 0=la instrucción reset ha sido ejecutada Bit 3 TO bandera de perro guardián 1=después de un power-up, clrwdr 0= a wdt ocurrió un reset Bit 2 PD bandera de detección de apagado del procesador 1=después de un power-up, clrwdt 0=debido a la ejecución de la instrucción sleep Bit 1 POR bit de estado power-onreset 1= Un Power-onReset no ha ocurrido 0=Un Power-onReset ocurrió Debe ser colocado en 1 después de que un Power-onReset ha ocurrido)

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

125 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Bit 0 BOR Brown-out 1 = Un Brown-out Reset no ha ocurrido. 0 = Un Brown-out Reset ocurrió. Debe ser colocado en 1 después de que un Brown-outReset ha ocurrido) Interrupciones. Registro INTCON

R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0 R/W-0

GIE/GIEH PEIE/GIEL TMROIE INT0IE RBIE TMROIF INTOIF RBIF

Bit 7 GIE/GIEH: Habilitador global de interrupciones. Si IPEN = 0: (Bit 7 RCON) 1 = Habilita todas las interrupciones sin máscara 0 = Deshabilita todas las interrupciones Si IPEN = 1: (Bit 7 RCON) 1 = Habilita todas las interrupciones de alta prioridad. 0 = Deshabilita todas las interrupciones. Bit 6 PEIE/GIEL: Habilitador de Interrupciones de periféricos Si IPEN = 0: (Bit 7 RCON) 1 = interrupciones de periféricos Habilita todas las sin máscara. 0 = Deshabilita todas las interrupciones de periféricos Si IPEN = 1: (Bit 7 RCON) 1 = Habilita todas las interrupciones de periférico de baja prioridad. 0 = Deshabilita todas las periféricos de baja prioridad Bit 5 TMR0IE: Interrupción de rebose de TMR0 1=habilita la interrupción por rebose de TMRO 0 = Deshabilita la interrupción por rebose de TMR0. Bit 4 INT0IE: Interrupción Externa INT0 1 = Habilita la INT0 0 = Deshabilita la INT0 Bit 3 RBIE: Interrupción por cambio de estado en PORTB 1 = Habilita la interrupción por cambio de estado en PORTB 0 = Deshabilita la interrupción por cambio de estado en PORTB Bit 2 TMR0IF: Bandera de Interrupción por rebose de TMR0 1 = El TMR0 se ha rebosado (se debe borrar por SW) 0 = TMR0 no se ha rebosado. Bit INT0IF: Bandera de INT0 1 = Ha ocurrido INT0 (debe ser borrada por SW) 0 = INT0 no ha ocurrido. Bit 0 RBIF: Bandera de interrupción por cambio de estado en PORTB. 1 = Ha ocurrido un cambio de estado en uno de los pinesRB4 a RB7 0 = No ha ocurrido un cambio de estado en RB4 a RB7. Interrupciones. Registro INTCON2

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

126 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

R/W-1 R/W-1 R/W-1 R/W-1 U-0 R/W-1 U-0 R/W-1

RBPU INTEDG0 INTEDG1 INTEDG2 - TMR01P - RBIP

Bit 7 RBPU: Bit habilitador de las resistencias Pull-up. 1 = Todas las resistencias pull-ups deshabilitadas. 0 = Las resistencias pull-ups están habilitadas. Bit 6 INTEDG0: Selección del flanco de la Interrupción Externa 0 1 = Flanco de subida. 0 = Flanco de bajada. Bit 5 INTEDG1: Selección del flanco de la Interrupción Externa 1 1 = Flanco de subida. 0 = Flanco de bajada. Bit 4 INTEDG2 Selección del flanco de la Interrupción Externa 2 1 = Flanco de subida 0 = Flanco de bajada Bit 3 Sin Implementar Bit 2 TMR0IP: Bit de prioridad de la interrupción por rebose de TMR0 1 = Alta prioridad 0 = Baja prioridad Bit 1 Sin implementar Bit 0 RBIP: de prioridad de la interrupción de cambios de estado en PORTB 1 = Alta prioridad. 0 = Baja prioridad. Interrupciones. Registro INTCON3

R/W-1 R/W-1 U-0 R/W-1 R/W-0 U-0 R/W-0 R/W-0

INT2IP INT1IP - INT2IE INT1IE - INT2IF INT1IF

Bit 7 INT2IP: Bit de prioridad de INT2 1 = Alta prioridad. 0 = Baja prioridad. Bit INT1IP: Bit prioridad de INT1 1 = Alta prioridad. 0 = Baja prioridad. Bit 5 Sin implementar Bit 4 INT2IE: Bit habilitador de INT2 1 = Habilita INT2. 0 = Deshabilita INT2. Bit 3 INT1IE: Bit habilitador de INT1 1 = Habilita INT1. 0 = Deshabilita INT1. Bit 2 Sin implementar

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

127 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Bit 1 INT2IF: Bandera que indica que ocurrió INT2 1 = Ha ocurrido INT2 (debe ser borrada por SW) 0 = No ha ocurrido INT2 Bit 0 INT1IF: Bandera que indica que ocurrió INT1 1 = Ha ocurrido INT1 (debe ser borrada por SW) 0 = No ha ocurrido INT1 TEMPORIZADOR TIMER 0 Este temporizador/contador tiene un registro de conteo de pulsos, que se denomina de la misma forma TMR0. Es accesible desde código, se puede verificar su valor por programa, el conteo de este registro es incremental. Cuando se desborda, se necesita recargar el valor de conteo, para evitar conteos erróneos. Genera una interrupción cuando se desborda en modo 8 o bits16 bits. El TIMER0 es controlado por el registro T0CON. Interrupciones. Registro TOCOM

R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1 R/W-1

TMR0ON TO8BIT TOCS T0SE PSA T0PS2 T0PS1 T0PS0

Bit 7 TMR0ON: Bit de control ON/OFF del Timer0 1 = Habilita el Timer0 0 = Detiene el Timer0 Bit 6 T08BIT: Bit de selección de Timer0 en modo 8 bits o 16 bits 1 = Timer0 en modo 8 bits 0 = Timer0 en modo 16 bits Bit 5 T0CS: Bit de selección de la fuente de reloj de Timer0. 1 = Transiciones en el pin T0CKI (RA4) 0 = Reloj interno de Instrucciones (FOSC/4) Bit 4 T0SE: Bit de tipo de flanco para incremento de Timer0. 1 = Incremento flanco de bajada. 0 = Incremento por flanco de subida. Bit 3 PSA: Bit de asignación del Pre escalador 1 = Pre escalador no asignado a Timer0. 0 = Pre escalador asignado a Timer0. Bit 2-0 T0PS2:T0PS0: Bits de selección del pre escalador 111 = 1:256 110 1:128 101 = 1:64 100 = 1:32 011 = 1:16

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

128 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

010 = 1:8 001 = 1:4 000 = 1:2 Si no se asigna un preescalador a TMRO, el registro del reloj se incrementa cada ciclo de reloj. Además si el registro TMR0L es escrito, el conteo no se reanuda hasta dos ciclos de instrucción después. La interrupción de TMR0, no puede sacar al procesador de un SLEEP, ya que en este caso el oscilador interno está parado. REGISTROS ASOCIADOS A TIMER0

El registro TMR0H se actualiza solo cuando se hace una lectura de TMR0L, esto con elfin de leer los 16 bit de TMR0.La parte alta de TMR0, solo se carga en el registro TMR0H cuando se hace una escritura en TMR0L, esto con el fin de transferir los 16 bits a la vez. 5.2.3 Librerías del Mikroc Pro.

Mikroc Pro 3.2 posee un amplio conjunto de librerías que facilitan la programación de los distintos Pics en lenguaje C. Algunas librearías usan variables que deben ser declaradas en el programa. Además dispone de Library Manager, ventana donde se puede visualizar todas las librerías disponibles, estas pueden ser incluidas en el proyecto seleccionándolas como se observa en la figura 5.13.

BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT0

TMROL

TMROH

INTCON GIE/GIEH PEIE/GIEL TMROIE INT0IE RBIE TMROIF INTOIF RBIF

T0CON TMR0ON TO8BIT T0CS T0SE PSA T0PS2 TOPS1 TOPS0

TRISA

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

129 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.88 Administrador de Librería (Library Manager)

5.2.4 Interacción con el ISIS de Proteus.

Una vez que se tiene construido un circuito electrónico con Pics en ISIS de Proteus, y compilado el archivo hexadecimal en Mikoc Pro, es necesario realizar la simulación del esquema, para esto es necesario cargar el archivo hexadecimal en el pic correspondiente.

Figura 5.89 Esquema electrónico realizado en ISIS de Proteus

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

130 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Para cargar el archivo hexadecimal es necesario dar doble-click sobre el pic, se visualiza la ventana de la figura 5.15. En el casillero program file se coloca el directorio donde está el fichero hex por ejemplo C:\misdocumentos\SPI\rev.hex, también se necesita colocar la frecuencia del cristal de cuarzo a la que estará conectado el pic, una vez que se ha llenado correctamente todos los casilleros de la ventana Edit Component se da doble-click en OK. Por último se procede a simular el circuito.

Figura 5.90 Editar componente.

5.3 Eagle CadSoft EAGLE 5.10 (Easily Aplicable Graphical Layout Editor) este programa nos permite diseñar Pcb. (Placas de Circuito Impreso). El programa consta de tres módulos:

Diagramador, Editor de esquemas y Autorouter.

5.3.1 Panel de control

El panel de control normalmente aparece después de iniciar EAGLE, este es el centro de control del programa. Todos los archivos de EAGLE se manejan aquí, y algunos ajustes básicos se pueden hacer en la configuración del programa. La forma en la que está estructurado el panel de control proporciona una visión rápida y general de la librería, proyectos, entre otros elementos que forma parte del programa. La primera vez que se le llama el panel de control aparecerá como se muestra la figura 5.16. Si se selecciona un objeto este aparecerá en forma de árbol, más información relevante se muestra en la parte derecha de la ventana relacionado al objeto seleccionado.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

131 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.91 Vista del panel de control.

Biblioteca General (Library Summary) La biblioteca de Eagle tiene la posibilidad de mostrar el contenido de las librerías para tener una visión muy rápida de los dispositivos disponibles. Al hacer doble-click en library summary se abre en forma de árbol, se puede ver la colección de elementos disponibles para el diseño, en el campo descripción hay una breve detalle de cada elemento (figura 5.17). El marcador verde atrás de la entrada de cada elemento de la biblioteca indica que esté puede ser utilizado en el proyecto actual.

Figura 5.92 Sumario de la Librería (Library Summary)

Reglas de diseño (Design Rules) En Design rules se configura un conjunto de parámetros que regirá el proyecto actual. La primera vez que se llama al programa la configuración para el diseño viene establecida por defecto. Si es necesario se ajusta los valores de acuerdo a las necesidades del proyecto. Cuando haya terminado los ajustes, se inicia la comprobación de errores haciendo clic en sobre el botón Aceptar.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

132 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El cuadro de diálogo Reglas de diseño (Design Rules) ofrece una gama de opciones diferentes que se pueden configurar a través de las pestañas. Las opciones incluyen: File = Administra las reglas para el diseño. Layers = Aquí se especifica el número de las láminas de cobre, la estructura de las

capas. Clearance = Distancia entre agujeros y las pistas, distancia entre pistas. Distance = Distancias desde el borde del tablero y entre agujeros. Sizes = Ancho mínimo de pista y diámetro mínimo del agujero.

Figura 5.93 Ventana de reglas de diseño (Desing Rules)

User Language Programs, Scripts, CAM Jobs En esta entrada se muestran los contenidos de los directorios ulp, scr y cam Contiene varios programas de usuario en lenguaje (*. ULP), archivos de script (*. Scr). Proyectos (Projects) Los distintos proyectos se gestionan desde el Panel de control. Con un doble-click en Projects muestra el registro de proyectos en diferentes carpetas. Un proyecto consiste generalmente en una carpeta (directorio) que representa el proyecto por su nombre. La carpeta por lo general contiene todos los archivos que pertenecen al proyecto, por ejemplo, se encuentra un esquemático, los archivos de placa .brd, archivos de script entre otros.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

133 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.94 Ventana de proyectos

Creación de un nuevo proyecto Para la creación de un nuevo proyecto en el Panel de Control se selecciona: Projects / Clic Derecho / New Folder Se nombra a la nueva carpeta como comunicacion_1, la cual contendrá al proyecto comunicación_1 usado en este manual, el cual contendrá los archivos de esquema (.sch) y los archivos de placa (.brd). El proyecto actualmente activo se visualiza en color verde en el Panel de Control, como se muestra en la figura 5.20

Figura 5.20 Nuevo Proyecto (New Proyecto)

5.3.2 Esquemas 5.3.2.1 Creación de un esquema

Para la creación del esquema del proyecto se selecciona: Clic Derecho en el proyecto / New / Schematic A continuación aparece una ventana en blanco donde se puede empezar a crear el esquema. Los comandos más utilizados para la creación de un esquema son:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

134 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Rejilla La rejilla estándar para un esquema es de 5.54 mm (0.1 pulgadas). Los símbolos deberían ser colocados sobre esta rejilla o un múltiplo de ella, para facilitar la localización de todos los dispositivos (Guadilla y Gálvez 2000). En la figura 5.21 se muestra el cuadro con parámetros para este comando, los cuales se encuentran configurados en sus valores por defecto.

Figura 5.95 Cuadro de parámetros del comando Grid.

ADD El comando ADD permite seleccionar símbolos de una librería. Al dar clic sobre él aparece una nueva ventana donde es posible introducir uno o más caracteres de búsqueda en el campo Search. Se puede usar el nombre de un dispositivo o cualquier palabra de la descripción del mismo. Por ejemplo, si se desea colocar el dispositivo tl084, se introduce en el campo de búsqueda *TL084*. El comando ADD permite agregar los componentes, así como también los símbolos para VCC, V +, y GND desde la librería supply.lbr.

Figura 5.96 Ventana de búsqueda de dispositivos usando librerías.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

135 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

MOVE Una vez que se han colocado todos los elementos se puede empezar a trasladarlos a una posición apropiada mediante la selección de este comando en la barra de herramientas, luego se sitúa el cursor en el elemento que se quiere mover y se lo ubica en un lugar apropiado. Mientras esta activo este comando, se tiene la opción de girar el elemento.

(Línea de conexión) Este comando permite conectar entre sí los deferentes elementos de un esquema, como se observa en la figura 5.23.

Figura 5.97 Comando Net para conexionado entre elementos.

JUNCTION El arrastre de una línea de conexión sobre otra línea genera una conexión entre ellas. La conexión se representa por un nudo (junction), que se coloca automáticamente (Guadilla y Gálvez 2000).

SHOW Resalta el objeto seleccionado. En la figura 5.24 se resalta en verde fosforescente los cables conectados al nodo así como cada uno de los terminales conectados.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

136 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.98 Comando Show para visualización de conexiones.

NAME Con este comando se le puede dar un nombre a los componentes, redes, o los buses. En la figura 5.25 se observa el uso de este comando para dar nombre a una resistencia.

Figura 5.99 Comando nombre (Name).

VALUE EAGLE permite dar los valores a las resistencias, condensadores, e inductancias; en el caso de los circuitos integrados normalmente recibe el tipo (por ejemplo, 74LS00N) como su valor. En la figura 5.26 se observa el uso de este comando para colocar un valor a una resistencia.

Figura 5.100 Comando valor (Value).

INVOKE INVOKE permite visualizar la alimentación de circuitos integrados que se encuentran en un esquema.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

137 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

5.3.3 PCB

Una vez que se tiene dibujado el esquema se desea diseñar una placa, se da doble-click sobre el icono Board en la barra de herramientas.

Figura 5.101 Icono Placa (Board)

Se genera un archivo .brd con el mismo nombre que el esquema correspondiente y con todos los dispositivos son colocados al lado izquierdo y superior de la placa, como se ve en la figura 5.28

Figura 5.102 Creación de un archivo placa (Board)

Se deben mover todos los componentes dentro del área asignada con el comando Move, como se muestra en la figura 5.29, para después realizar el ruteado.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

138 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.103 Colocación de los elementos dentro de la placa.

5.3.4 Autorouter Una vez que todos los elementos están ubicados correctamente dentro de la placa, se procede a dar doble-click sobre el icono del comando autoruter, generando la placa de la figura 5.30, la generación de la placa no debe tardar mucho tiempo siempre que los elementos estén correctamente ubicados.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

139 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.104 Ruteo de la placa de circuito Impreso.

5.4 Visual Basic 6.0 5.4.1 Introducción

Visual Basic 6.0 es uno de los lenguajes de programación que más entusiasmo despiertan entre los programadores de PCs, tanto expertos como novatos. En el caso de los programadores expertos por la facilidad con la que desarrollan aplicaciones complejas en poco tiempo (comparado con lo que cuesta programar en Visual C++, por ejemplo). En el caso de los programadores novatos por el hecho de ver de lo que son capaces a los pocos minutos de empezar su aprendizaje. Visual Basic 6.0 es un lenguaje de programación visual, también llamado lenguaje de 4ª generación. Esto quiere decir que un gran número de tareas se realizan sin escribir código, simplemente con operaciones gráficas realizadas con el ratón sobre la pantalla. Visual Basic 6.0 es también un programa basado en objetos, aunque no orientado a objetos como C++ o Java. La diferencia está en que Visual Basic 6.0 utiliza objetos con propiedades y métodos, pero carece de los mecanismos de herencia y polimorfismo propios de los verdaderos lenguajes orientados a objetos como Java y C++.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

140 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

5.4.2 El Entorno de Programación Visual Basic 6.0

Cuando se arranca Visual Basic 6.0 aparece en la pantalla una configuración similar a la mostrada en la Figura 1.1. En ella se pueden distinguir los siguientes elementos: 1. La barra de títulos, la barra de menús y la barra de herramientas de Visual Basic 6.0 en modo Diseño (parte superior de la pantalla). 2. Caja de herramientas (toolbox) con los controles disponibles (a la izquierda de la ventana). 3. Formulario (form) en gris, en que se pueden ir situando los controles (en el centro). Está dotado de una rejilla (grid) para facilitar la alineación de los controles. 4. Ventana de proyecto, que muestra los formularios y otros módulos de programas que forman parte de la aplicación (arriba a la derecha). 5. Ventana de Propiedades, en la que se pueden ver las propiedades del objeto seleccionado o del propio formulario (en el centro a la derecha). Si esta ventana no aparece, se puede hacer visible con la tecla <F4>. 6. Ventana FormLayout, que permite determinar la forma en que se abrirá la aplicación cuando comience a ejecutarse (abajo a la derecha). Existen otras ventanas para edición de código (Code Editor) y para ver variables en tiempo de ejecución con el depurador o Debugger (ventanas Immediate, Locals y Watch). Todo este conjunto de herramientas y de ventanas es lo que se llama un entorno integrado de desarrollo o IDE (Integrated Development Environment).Construir aplicaciones con Visual Basic 6.0 es muy sencillo: basta crear los controles en el formulario con ayuda de la toolbox y del ratón, establecer sus propiedades con ayuda de la ventana de propiedades y programar el código que realice las acciones adecuadas en respuesta a los eventos o acciones que realice el usuario.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

141 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.105 Entorno de programación de Visual Basic 6.0

El Help de visual Basic 6.0 El Help de Visual Basic 6.0 es de los mejores que existen. Además de que se puede buscar cualquier tipo de información con la función Index, basta seleccionar una propiedad cualquiera en la ventana de propiedades o un control cualquiera en el formulario (o el propio formulario), para que pulsando la tecla <F1> aparezca una ventana de ayuda muy completa. De cada control de muestran las propiedades, métodos y eventos que soporta, así como ejemplos de aplicación. También se muestra información similar o relacionada. Existe además un breve pero interesante curso introductorio sobre Visual Basic 6.0 que se activa con la opción Help/Contents, seleccionando luego MSDN Contents/Visual Basic Documentation/ Visual Basic Start Page/Getting Started 5.4.3 Programa en Visual Basic 6.0:

Para crear un nuevo proyecto en Visual Basic, hacemos clic en el menú Archivo Nuevo Proyecto y seleccionamos la opción “EXE estándar” (figura 5.32).

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

142 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.106 Crear un nuevo proyecto en Visual Basic 6.0

En nuestro proyecto se requiere el envió de datos al Pc que son tomados y acondicionados para su posterior evaluación. Por lo que se realizara un ejemplo de este proceso. 5.4.4 Ejemplo Comunicación Serial.

Una vez creado un nuevo proyecto, será importante activar el componente. Para manejar la comunicación serial “Microsoft Comm Control 6.0”. Esto se realiza haciendo clic en el menú Proyectos, Componentes, Controles.

Figura 5.107 Componentes para comunicación

Al hacer clic en el botón “Aceptar” veremos que en la barra de herramientas aparece un nuevo icono representado por un teléfono.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

143 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.108 Componentes para comunicación.

Inserte en el formulario el icono “MsComm” como se muestra en la figura 5.35, y configure los siguientes parámetros en la ventana de propiedades: CommPort: 1 (ver figura 5.36) Settings: 9600, n, 8, 1 (ver figura 5.37)

Figura 5.109 Proyecto de comunicación

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

144 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.110 Configuración del puerto

Figura 5.111 Configuración de baudios

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

145 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Seguidamente haga doble clic sobre el formulario para visualizar la ventana de código en la cual introduciremos las siguientes líneas de programa, las cuales se encargarán de abrir el puerto serial del PC (Figura 5.38).

Figura 5.112 Configuración por software

Utilizamos el icono “CommandButton” en la barra de herramientas para agregar botones en el formulario:

Figura 5.113 Agregar Botones.

Para cambiar el nombre del botón, busque la celda “Caption” en la ventana de propiedades del mismo (ver figura 5.40):

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

146 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.114 Configurar Botones.

Este procedimiento se repite hasta lograr obtener un formulario con 12 botones debidamente identificados como se observa en la figura 5.41:

Figura 5.115 Teclado.

El siguiente paso es designar a cada botón la instrucción que se encargará de enviar un dato específico a través del puerto serial del PC. Haga doble clic en el primer botón del formulario y agregue la siguiente línea de comando (ver figura 5.42):

MSComm1.Output = Chr$(1)

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

147 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.116 Instrucción para enviar un dato.

Se repite el paso anterior para el resto de los botones: Botón #2: MSComm1.Output = Chr$(2)

Botón #3: MSComm1.Output = Chr$(3)

Botón #4: MSComm1.Output = Chr$(4)

Botón #5: MSComm1.Output = Chr$(5)

Botón #6: MSComm1.Output = Chr$(6)

Botón #7: MSComm1.Output = Chr$(7)

Botón #8: MSComm1.Output = Chr$(8)

Botón #9: MSComm1.Output = Chr$(9)

Botón #10: MSComm1.Output = Chr$(10)

Botón #11: MSComm1.Output = Chr$(11)

Botón #12: MSComm1.Output = Chr$(12)

Por último, haga clic en el botón “Iniciar” (ver figura 5.43), para hacer funcionar el teclado 3x4 desde el cual se enviarán datos hacia el microcontrolador. Al hacer clic en cualquiera de los botones del teclado, estará enviando al microcontrolador el dato correspondiente el cual podrá ser observado en la pantalla LCD del circuito. Por último, generamos el archivo ejecutable desde el menú Archivo Generar “Nombre del archivo.exe”

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

148 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 5.117 Puesta en funcionamiento.

CAPITULO 6

IMPLEMENTACION 6. Introducción Para la implementación de este acelerógrafo, es necesario conocer a profundidad su funcionamiento integral, pero adicionalmente la funcionalidad de todas las partes que lo forman, para ello hay que adentrarse en los conceptos más básicos de la electrónica, de las comunicaciones, de los microprocesadores, etc. En este capítulo se presentan las características principales del equipo construido. 6.1 Pruebas finales del equipo

En esta sección de este capítulo se verificará el funcionamiento de las partes más importantes del dispositivo construido y también la prueba de integral del equipo. 6.1.1 Prueba de funcionamiento del sensor sísmico acelerómetro

La señal o señales provenientes del dispositivo sensor sísmico llamado acelerógrafo cuya apariencia física real se muestra en la figura 6.1, en donde este acelerógrafo consta de 3 componentes, de izquierda a derecha observamos el primer componente detecta la aceleración longitudinal del suelo, el segundo componente mide la

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

149 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

aceleración transversal del suelo y por último el tercer componente obtiene la aceleración vertical del suelo.

Figura 6.118 Acelerógrafo de 3 componente Longitudinal, transversal y vertical

A continuación se realiza la calibración de cada una de los tres componentes siguiendo el procedimiento descrito en el capítulo 1 de esta tesis. En la siguiente figura se muestra un esquema de una de las componentes a calibrar.

Figura 6.119 Esquema de una de las componentes a Calibrar

Mediante los tornillos de ajuste a cero de cada una de las 3 componentes, tratando siempre de que el voltaje de referencia quede en los niveles adecuados y recomendados por el fabricante, en la figura 6.3 se observa uno de los tornillos a manipular para el ajuste a cero.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

150 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.120 Tornillo de ajuste a cero de Una de las componentes del Acelerógrafo

Todas estas magnitudes o señales provenientes del acelerógrafo deben ser acondicionadas mediante de manera que se pueda manipular y tratar de una manera adecuada para ello es imprescindible la utilización de filtros y en este caso en particular se utilizara un filtro anti aliasing a continuación se presenta una breve explicación de los filtros utilizados. 6.1.1.1 Filtro Anti-Aliasing

En la figura 6.4 se muestra un ejemplo de aliasing, se hace un muestreo de una sinusoidal con dos frecuencias. La primera de verde es más grande que el doble de la frecuencia de la sinusoidal y por tanto T es menor que la mitad del período. La segunda (roja) es más pequeña, con un T que es igual a tres cuartas partes del período.

Figura 6.121 Ejemplo del Aliasing

El problema del aliasing es la posibilidad de tener ruidos de alta frecuencia no deseados a la entrada. Fig. 6.5

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

151 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.122 Problema del Aliasing

La señal muestreada está irremediablemente estropeada, un método para evitar problemas de aliasing es el siguiente:

Elegir una frecuencia de muestreo que sea más grande que la mínima indispensable;

Añadir siempre un filtro anti aliasing antes del muestreador Fig. 6.6:

Figura 6.123 Método para el Aliasing

El filtro anti aliasing es una característica única de cada sistema ADC. 6.1.1.2 Características del Filtro Anti-Aliasing

El filtro anti-aliasing es un filtro paso bajo que elimina todas las frecuencias por encima de la frecuencia de folding. Generalmente suele ser la parte más costosa del sistema

ADC. Casi siempre se elige la 𝑓𝑐 poco más grande que la mínima necesaria:

más baja 𝑓𝑐, menos datos por unidad de tiempo por elaborar; además, el ADC tiene más tiempo para efectuar la conversión;

Como consecuencia, hay frecuencias poco más bajas que la de folding que son de interés:

el filtro anti aliasing tiene que discriminar entre frecuencias cercanas;

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

152 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

necesitamos un filtro con alta pendiente. Además, a menudo la frecuencia de muestreo es ajustable, y esto implica que el filtro anti aliasing tenga que ser igualmente ajustable. 6.1.1.3 Filtros Sallen-Key

Se requiere de un circuito activo que permita la obtención de una función de transferencia para la implementación de filtros. Recordando las funciones de segundo orden pasabajos, pasaaltos, pasabandas y rechazabandas se tiene:

𝐻(𝑠) =𝐺𝑤𝑐

2

𝑠2+𝑤0𝑄

𝑠+𝑤𝑐2 6.1

𝐻(𝑠) =𝐺𝑠2

𝑠2+𝑤0𝑄

𝑠+𝑤𝑐2 6.2

𝐻(𝑠) =𝐺

𝑤𝑜𝑄

𝑠2

𝑠2+𝑤0𝑄

𝑠+𝑤02 6.3

𝐻(𝑠) =𝐺(𝑠2+𝑤0

2)

𝑠2+𝑤0𝑄

𝑠+𝑤02 6.4

Donde G representa la ganancia del filtro,Q es el factor de calidad y ωc la frecuencia de

corte inferior o superior y ωo representa la frecuencia central. Las funciones de

transferencia de 2do orden se implementan con Amplificadores Operacionales, Resistores y Capacitores. Existen dos circuitos clásicos, el VCVS y el Sallen-Key (SK). Se estudiara el filtro Sallen-Key ya que es el que se ha implementado en este trabajo. Circuito pasabajos Sallen-key

El circuito se muestra a continuación junto con su respectiva función de transferencia.

Figura 6.124 Filtro Sallen- Key Pasabajos

La función de transferencia será:

𝐻(𝑠) =(

𝑅𝐵𝑅𝐴

+1)1

𝑅1𝑅2𝐶1𝐶2

𝑠2+{1

𝐶1(

1

𝑅1+

1

𝑅2)−

1

𝑅2𝐶2

𝑅𝐵𝑅𝐴

}𝑠+1

𝑅1𝑅2𝐶1𝐶2

6.5

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

153 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Donde 𝐺 =𝑅𝐵

𝑅𝐴+ 1, 𝑤𝑐

2 =1

𝑅1𝑅2𝐶1𝐶2

Circuito Pasaaltos Sallen-Key Las estructuras SK pasaaltos se muestran en la Fig.6.6. Donde la función de transferencia será:

Figura 6.125 Filtro Sallen-Key Pasaaltos

𝐻(𝑠) =(

𝑅𝐵𝑅𝐴

+1)𝑠2

𝑠2+{1

𝑅1(

1

𝐶1+

1

𝐶2)−

1

𝑅2𝐶2

𝑅𝐵𝑅𝐴

}𝑠+1

𝑅1𝑅2𝐶1𝐶2

6.6

Donde 𝐺 =𝑅𝐵

𝑅𝐴+ 1, 𝑤𝑐

2 =1

𝑅1𝑅2𝐶1𝐶2 .

Circuitos Pasabandas Sallen-Key

La versión SK pasabandas se muestra en la Fig. 6.7. Y la función de transferencia SK está dada a continuación:

Figura 6.126 Filtro Sallen-Key Pasabandas

𝐻(𝑠) =(

𝑅𝐵𝑅𝐴

+1)1

𝑅1𝐶{

1

𝑅1+

2

𝑅2−

1

𝑅3

𝑅𝐵𝑅𝐴

}𝑠

𝑠2+{1

𝐶(

1

𝑅1+

2

𝑅2−

1

𝑅3

𝑅𝐵𝑅𝐴

)}𝑠+1

𝑅2𝐶2(1

𝑅1+

1

𝑅3) 6.7

Donde 𝐺 =𝑅𝐵

𝑅𝐴+ 1, 𝐵 =

1

𝐶(

1

𝑅1+

2

𝑅2−

1

𝑅3

𝑅𝐵

𝑅𝐴) y 𝑤0

2 =1

𝑅2𝐶2 (1

𝑅1+

1

𝑅3)

Para la implementación se utilizó el programa filter Lab. A continuación se muestra una gráfica en donde se observa la curva de frecuencia del filtro sallen-Key.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

154 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.127 Curva de Frecuencia del Filtro Sallen-Key

6.1.2 Prueba de funcionamiento de la fuente de poder

La energía necesaria para el funcionamiento del equipo construido proviene directamente de la batería DC de 12 voltios la cual cuenta con un dispositivo adicional para recargarla conectándola a la alimentación externa este dispositivo tiene como controlador central el Pic16F628A y su funcionamiento se basa principalmente en los comparadores con los que cuenta este micro controlador configurados de manera adecuada para este propósito “refiriéndose a las especificaciones en sus datos técnicos” y de acuerdo a los requerimientos del equipo se optó por utilizar dos comparadores, con voltaje de referencia proveniente del propio Pic si el voltaje en la batería desciende por de debajo de una banda de valores, el micro controlador activara un relé que conectara la batería a la fuente externa para restablecer el voltaje en la misma, cuando esta recupere la tensión adecuada esto se hará notorio en la red de resistencias que alimenta los comparadores para luego el controlador desconectar el relé de carga.

-

-

+

+

C1Vout

C2Vout

VRef

Vin-

Vin+

Vin-

Vin+

CIS = 0CIS = 1

CIS = 0CIS = 1

A

A

A

A

RA0/AN0

RA3/AN3/CMP1

RA1/AN1

RA2/AN2/VRef

C1

C2

4 Entradas multiplexadas para 2 comparadores CM1:CM0 = 010

Figura 6.128 Configuración de los comparadores del Pic16F628A

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

155 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.129 Esquema para control de carga de la batería

El sistema además cuenta con amplificadores de instrumentación los que deben ser alimentados por una fuente dual +12v,-12 por este motivo se recurrió a los convertidores de voltaje negativo 7662 mismo que provee 100mA por cada CI. Siendo necesario conectar en paralelo 6 dispositivos para suplir la corriente que requiere el equipo para los amplificadores de instrumentación filtro anti alias y offset para adecuar la señal que ingresa al ADC.

Figura 6.130 Convertidor de voltaje Negativo

En la fuente también se encuentran los reguladores de voltaje para los dispositivos que funcionan a 5 y 3,3 voltios habiendo utilizado los CI. LM7805 y LM1117T el regulador de 5v está montado con un disipador de calor ya que el consumo del equipo provocara calentamiento en el mismo. En la Fig. 6.14 se muestra el diseño de la placa para la fuente hecho en Eagle.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

156 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.131 Placa de la fuente diseñada en Eagle

6.1.3 Prueba de funcionamiento del adc

Para la adquisición de datos se utilizó el convertidor MCP 3204, cuyo esquema se muestra en la figura.

Figura 6.132 Esquema del ADC MCP3204

Con el fin de entender en que consiste la forma de programación de este ADC se detallan a continuación algunos conceptos claves. 6.1.3.1 Convertidor de aproximaciones sucesivas.

Este tipo de convertidor es el más utilizado cuando se requieren velocidades de conversión entre medias y altas del orden de algunos microsegundos a décimas de microsegundos. El diagrama de bloque puede verse en la siguiente figura en el que se puede ver un sistema de conteo por aproximaciones sucesivas, que básicamente, está formado por un registro de desplazamiento de n bits controlados por un circuito digital.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

157 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Vi +

-

Clock Circuito de Aproximaciones

sucesivasSalida digital

Conversor D/A

Figura 6.133 Diagrama de bloques del Convertidor por Aproximaciones Sucesivas El proceso de conversión para este tipo de convertidores se basa en la realización de comparaciones sucesivas de manera descendente o ascendente, hasta que se encuentra la combinación que iguala la tensión entregada por el D/A (digital analógico) y la de entrada. Como el arranque parte siempre de cero, el registro de aproximaciones sucesivas, comienza poniendo a 1 el bit de más peso (MSB), quedando el resto a cero, o sea, forma el valor 100 (para este ejemplo se utilizarán sólo tres bits), que corresponde a la mitad de la máxima excursión de la tensión de entrada. Este valor es transformado a señal analógica, que a su vez se introduce en el comparador. Si esta señal es mayor que Vi, el comparador bascula da lugar a una señal que hace que el registro varíe su contenido, sustituyendo el 1 del bit de más peso por un 0 y colocando en el bit de peso inmediatamente inferior un 1, quedando inalterado el resto de los bits (010). Por el contrario si la señal fuese menor que Vi, el registro no modifica el bit de más peso inmediatamente inferior a 1, dejando a 0 el resto de los bits (110).Tanto en un caso como en otro, se efectúa una nueva conversión D/A y luego se modifica el registro con el mismo criterio. El proceso se repite hasta alcanzar el bit de menos peso (LSB). En el esquema siguiente, se muestra el diagrama de transiciones para 3 bits donde se indica el proceso de búsqueda de la combinación digital. El proceso se repetirá n veces, siendo n el número de bits del registro de aproximaciones sucesivas. Por lo tanto el tiempo empleado en la conversión es independiente del valor de la señal analógica de entrada. El tiempo de conversión de este tipo de convertidores es mucho menor que muchos de los convertidores existentes.

000 100

110

010

111

101

011

111

110

101

100

011

010

001

000001

Figura 6.134 Aproximaciones Sucesivas para 3 bits

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

158 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

6.1.3.2 MCP3204.

Es un dispositivo convertidor A/D (analógico digital) de aproximación sucesiva de 12 bit con circuitería a bordo de muestreo y retención el CI.(circuito integrado) puede funcionar en dos modos el primero como 2 pares de entradas diferenciales o como 4 entradas simples, la comunicación con otros dispositivos tales como micro controladores se logra a través del protocolo SPI. Las características principales de este dispositivo convertidor analógico digital fabricado por Microchip son:

Resolución 12-16 bits. +/- 1 LSB máx. DNL.(DNL no linealidad diferencial ) +/- 1 LSB máx. INL.(MCP3204/3208-B),(no linealidad integral). 4 Canales de entrada. Entradas analógicas programables simples o pares seudo diferenciales. Muestreo y retención en el chip. Interface SPI (modo 0,0 y 1,1). Operación con una sala fuente (2.7v-5.5v). Muestreo a 100ksps máx. con VDD=5v. 50 Ksps máx. con VDD=2.7v. Tecnología CMOS baja potencia. Corriente típica 500nA en modo inoperativo. Corriente en estado activo 400uA a 5v. Rango de temperatura de funcionamiento -40ºC a 85 ºC. Disponible en encapsulados PDIP, SOIC.

Aplicaciones

Interface con sensores. Control de procesos. Adquisición de datos. Sistemas operados con baterías.

Figura 6.135 Diagrama de bloques del MCP 3204

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

159 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Configuración

El convertidor MCP3204 inicia la comunicación a través del protocolo SPI cuando el pin CS es llevado a nivel bajo 0v (Gnd). El primer ciclo de reloj con CS en bajo y DIN en alto constituye el bit de inicio, el bit SGL/DIFF es el que le sigue y determinara si la conversión se ha realizado o no, los siguientes tres bits (D0, D1 y D2) son utilizados para definir el canal de entrada, el dispositivo inicia el muestreo de una entrada análoga en el cuarto flanco de subida de la señal de reloj después de que el bit de inicio (start) ha sido recibido el periodo de muestreo termina en el quinto flanco descendiente. Una vez que el bit D0 es ingresado se requiere un ciclo más de reloj para completar el muestreo y retención, los siguientes 12 ciclos de reloj se utilizaran para enviar los datos de la conversión, si se mantiene la señal de CS en bajo y siguen enviando señales de reloj el convertidor colocara ceros en la salida indefinidamente, el protocolo SPI envía 8 bit en cada salida de datos. Tabla 6.15 Bits de Configuración para el MCP3204

Selección del bit de control Configuración de entrada Selección de Canal

Single/Diff D2* D1 D0

1 X 0 0 Terminación única CH0

1 X 0 1 Terminación única CH1

1 X 1 0 Terminación única CH2

1 X 1 1 Terminación única CH3

0 X 0 0 Diferencial CH0=IN+ CH1=IN-

0 X 0 1 Diferencial CH0=IN- CH1=IN+

0 X 1 0 Diferencial CH2=IN+ CH3=IN-

0 X 1 1 Diferencial CH2=IN- CH3=IN+

*D2 No es importante para el MCP3204

Figura 6.136 Configuración SPI usando segmentos de 8 bits

En el grafico anterior se puede observar la secuencia de datos que se deben enviar al dispositivo para que se inicie la conversión de la señal analogía que se requiera

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

160 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

partiendo por el bit de inicio el siguiente bit es el que define si se requiere que las entradas sean diferenciales o simples los siguientes D0,D1 y D2 según la tabla anterior definen que entrada se convertirá los resultados serán de ocho bits la primera trama de ocho bit contendrá los bits B11 – B8 rellenada con ceros la siguiente trama contendrá los bits restantes del resultado siendo esta la razón para realizar las operaciones siguientes en el código de lectura del ADC se muestra la subrutina de lectura.(los comentarios se inician con // para explicar cada parte del código.) unsigned int getADC(unsigned short canal) { // devuelve 0..4095 valor de la // conversión. unsigned int tmp; // variables auxiliares Chip_Select= 0; // Chip Select MCP3204 SE PONEN BAJO SPI1_Write(0x06); // SPI communication using 8-bit segments canal = canal << 6; // Bits 7 & 6 definen el canal del ADC tmp = SPI1_Read(canal) & 0x0F; // lectura de los primeros bit de resultado B11- B8 tmp = tmp << 8; // desplazamiento para luego agregar los // restantes bits tmp |= SPI1_Read(0); // lectura de los bits faltantes B7-B0. Chip_Select= 1; //desactivar el convertidor. return tmp; //devuelve el valor de la conversión para // procesarlo. } //final de la subrutina de adquisición.

6.1.4 Prueba de funcionamiento del glcd

Los GLCDs, son dispositivos similares a los LCDs, con la diferencia que estos permiten graficar un mapa de bits de mayor resolución. Hay en diversos tamaños 128x64, 128x128, 240x320, píxeles. Estos módulos requieren un protocolo de configuración, y para ello cuentan con un bus de datos y un bus de control. Se hará hincapié en el análisis del control sobre un Glcd de 128x64 píxeles. Además de la apariencia física y la vista desde el simulador ISIS, ver figuras siguientes:

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

161 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.137 Apariencia del Glcd en el simulador Proteus

Figura 6.138 Apariencia física real del Glcd

Estos dispositivos están formados por 20 pines de los cuales 8 son el bus de datos, 6 son de control, 2 son de polarización, y 2 son para ajustar el contraste. Para fines de simulación los pines de contraste son ignorados y para fines prácticos los pines de contraste se deben tener en cuenta. Se debe mencionar que en el compilador MikroC PRO, existe una librería especializada en el uso y control de este dispositivo. La librería Glcd, se encuentra ubicada en la pestaña de librerías. En primera instancia para configurar el módulo gráfico, se definen los pines por los cuales se conectará el display al micro. La declaración de estos bits se hace de la siguiente manera. char GLCD_DataPort at PORTD; // Declaración del puerto con el bus de datos. // Para este caso puerto D sbit GLCD_CS1 at RB0_bit; //Declaración de los pines de control. sbit GLCD_CS2 at RB1_bit; // Para este caso Puerto B sbit GLCD_RS at RB2_bit;

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

162 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

sbit GLCD_RW at RB3_bit; sbit GLCD_EN at RB4_bit; sbit GLCD_RST at RB5_bit; sbit GLCD_CS1_Direction at TRISB0_bit; //Declaración de los registros de TRIS de control. sbit GLCD_CS2_Direction at TRISB1_bit; // Para este caso Puerto B sbit GLCD_RS_Direction at TRISB2_bit; sbit GLCD_RW_Direction at TRISB3_bit; sbit GLCD_EN_Direction at TRISB4_bit; sbit GLCD_RST_Direction at TRISB5_bit;

Después de la configuración de pines, para iniciar con el funcionamiento del display dentro de la función main se usara la función Glcd_Init(). Antes de cargar imágenes al Glcd estas deben ser editadas en algún programa, teniendo en cuenta las dimensiones de la gráfica en este caso 128 x 64 y guardadas en formato bmb, con color monocromático. En este proyecto se utilizó la herramienta de MikroC denominda GLCD Bitmap Editor, como se observa en la figura.

Figura 6.139 Ruta de la Herramienta editor de mapa de bits (Bitmap)

Una vez que se da click en esta pestaña aparecerá otra ventana como la que sigue a continuación.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

163 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.140 Editor de mapa de Bits (Bitmap Editor)

Como se observa para este caso se ha escogido el GLCD KS0108 de 128x64. Luego se pulsa el botón Load BMP Picture, y se carga el archivo de imagen que se ha diseñado en el editor. Posteriormente se selecciona la opción mikroC Code, y se pulsa el botón, Create CODE, creándose así el código en lenguaje C. Se debe tener cuidado con la memoria del micro con el cual se maneja el Glcd, puede a veces, no ser lo suficientemente grande como para cargar determinado número de imágenes o gráficas, se debe recalcar que este editor no solamente permite editar imágenes para luego cargarlas al Glcd sino que también con el podemos dibujar líneas, círculos, cuadrados y cualquier otra figura geométrica, incluso cargar fuentes de texto previamente diseñadas. En la figura se muestra el Glcd funcionando como osciloscopio en este se observa una onda cuadrada la misma que proviene de un generador de señales que ha sido procesada y enviada a mostrar en el display.

Figura 6.141 Funcionamiento del Glcd como osciloscopio

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

164 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

6.1.5 Prueba de funcionamiento de la pantalla tactil

Un panel táctil no es sino un fino adhesivo colocado sobre la pantalla de un Glcd muy sensible a la presión lo que produce cambios en la salida con un ligero toque, para este trabajo se utilizó el panel táctil resistivo. 6.1.5.1 Su funcionamiento

El panel táctil resistivo consta de dos láminas rígidas transparentes, que forman una estructura que tienen una capa resistiva en sus caras internas. La resistencia se encuentra normalmente a un valor de 1k ohm. Este panel tiene varios terminales que irán conectados a un cable plano. Para determinarse las coordenadas de la posición dentro de la pantalla del Glcd se tiene dos pasos primero se determina la posición en X y luego la posición en Y del punto. Para la localización del punto en X, es necesario conectar el contacto izquierdo de la superficie X a tierra y el contacto derecho a la fuente de poder, obteniendo un divisor de tensión al presionar el panel táctil, el valor de la tensión que se obtiene del divisor se leerá en el contacto inferior de la superficie Y, la tensión obviamente variara de “0 voltios” a “la tensión de la fuente” y dependerá de la coordenada X. Así si el punto está próximo al contacto del lado izquierdo de la superficie X, la tensión se aproximara a 0V. Ahora para determinar la coordenada Y, es preciso conectar el contacto inferior de la superficie Y a tierra, mientras que el contacto superior se conectara a la fuente de poder. Para este caso la lectura de la tensión se hará en el contacto izquierdo de la superficie X. 6.1.5.2 Su conexión

Para conectar el panel táctil al micro controlador, es imprescindible crear un circuito para el control del panel. Por medio del cual el micro controlador conectara los contactos adecuados del panel táctil a tierra y a la fuente de alimentación según sea el caso, como se muestra en la figura.

Figura 6.142 Circuito controlador para el touch del Glcd

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

165 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

En la figura se observa la placa impresa utilizada para el manejo del touch del Glcd y en la figura se puede apreciar la conexión de esta placa con el Glcd.

Figura 6.143 Placa del controlador del Touch del Glcd

Figura 6.144 Conexión del Touch con el circuito de control

6.1.6 Prueba de funcionamiento de la micro sd

Las memorias de almacenamiento SD, pueden se manejadas por los micro controladores de alta gama. Para trabajar con las memorias SD se debe poner atención en que, se lo hace con segmentos de 512 bytes, teniendo que contar con espacios en la memoria RAM del micro. Para la comunicación entre el micro y la memoria se debe utilizar el protocolo SPI, descrito en un capítulos anteriores de este trabajo, por lo tanto los micros deben de contar con un módulo de comunicación de este tipo, la alimentación de una memoria SD es de 3 voltios, para ello se utiliza un divisor de tensión con resistencias en la Fig. 6.26 A continuación se observa la placa impresa realizada junto con el modulo adaptador y conectado a este la microSD.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

166 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.145 Placa del Circuito para la memoria SD

Las memorias SD actuales tienen una capacidad del orden de los Giga bytes, la máxima capacidad que soporta la librería para estás memorias en MikroC, es de 1G byte. Para la manipulación de estas memorias el compilador, cuenta con una librería denominada: Mmc. Esta posee tres funciones para establecer la comunicación y el control de la memoria. A continuación se describen cada una de ellas. La función: unsigned char Mmc_Init (void) inicializa la comunicación con la memoria SD, y da un 0 si la comunicación se estableció con éxito, y un 1 en caso de presentarse algún error. La función: unsigned char Mmc_Read_Sector (unsigned long sector, char *dbuff) permite leer un sector de 512 bytes, guardando la lectura en el apuntador dbuff, e iniciando en el sector definido por el parámetro sector. Finalmente la función: unsigned char Mmc_Write_Sector (unsigned long sector, char *dbuff) trabaja de forma similar a la función de lectura, en las dos funciones se retorna el valor 0 si la operación culmina exitosamente o retorna un 1 si un fallo se presenta. La comunicación física con el microcontrolador se realiza mediante 4 conexiones que son: una línea de reloj, dos líneas de datos, entrada y salida, y el chip select. 6.1.7 Prueba de funcionamiento del gps

Los módulos GPS son dispositivos de comunicación inalámbricos que toman paquetes de información suministrada por los satélites geoestacionarios, se deben contar con la lectura de al menos tres satélites para realizar la triangulación; y consiste en medir la diferencia en tiempo entre las lecturas para determinar la posición del GPS sobre la superficie de la tierra. La calidad de la lectura depende del número de satélites simultáneos conectados al GPS y lógicamente la lectura será de mayor precisión entre más satélites estén conectados, los satélites que se consiguen hoy en día tienen un margen de error de alrededor de 3 metros, esto implica que con respecto al diámetro del globo terráqueo, el margen de error es casi del 0%. En la figura 6.27 se muestra la apariencia del GPS a utilizar y en la figura 6.28 se observa el GPS conectado a su circuito controlador.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

167 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.146 Apariencia del GPS a utilizar

Figura 6.147 GPS con su circuito controlador

Los módulos GPS cuentan con puertos seriales para transmitir de manera periódicamente la lectura de las coordenadas geográficas leídas por él. El periodo de los GPS, es un segundo (valor predeterminado). Los GPS usan como referencia de medida el meridiano de Greenwich, y el paralelo del Ecuador para dar un conjunto de coordenadas sobre el globo terráqueo. Remítase a la siguiente gráfica.

Figura 6.148 Coordenadas para determinación de un punto sobre el globo terráqueo

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

168 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Sabiendo que las coordenadas esféricas usan tres parámetros; el primero es la longitud, que es el ángulo λ (en la gráfica) y se mide a partir del meridiano de Greenwich, pudiendo ser Este, u Oeste, y su magnitud puede variar de 0 a 90 grados. El segundo parámetro es la latitud, que es el ángulo φ (en la gráfica), su punto de referencia es el paralelo del Ecuador pudiendo ser Norte, o Sur, de la misma forma su magnitud varia de 0 a 90 grados. El tercer y último parámetro es conocido como altitud, y determina la altura del punto coordenado con respecto al nivel del mar, y está definida en metros. Los Módulos GPS, además de suministrar las coordenadas esféricas pueden ofrecer otra información como: Número de satélites detectados, especificaciones mínimas del GPS, y características de curso y velocidad, entre otras. Para realizar la lectura de los datos del GPS se hiso uso de la siguiente tabla obtenida de las especificaciones técnicas del mismo. RMC. Datos específicos mínimos recomendados GNSS Nota - Los campos marcados con azul solamente se aplican a NMEA versión 2.3 (y versiones posteriores) en esta descripción del mensaje NMEA. La tabla contiene los valores para el siguiente ejemplo: $GPRMC,161229.487,A,3723.2475,N,12158.3416,W,0.13,309.62,120598,,*10

Tabla 6.16 Formato de los datos RMC

NOMBRE EJEMPLO UNIDAD DESCRIPCION

Mensaje ID $GPRMC Encabezado protocolo RMC

Tiempo UTC 161229.487 hhmmss.ss

Stado1 A A=dato valido V=dato no valido

Latitud 3723.2475 ddmm.mmmm

Indicador N/S N N= norte o S=sur

Longitud 12158.3416 dddmm.mmmm

Indicador W/O W E= este o W=oeste

Velocidad sobre la tierra 0.13 Nudos

Curso sobre la tierra 309.62 Grados True

Fecha 120598 Ddmmyy

Variación Magnetica2 Grados E= este o W=oesta

Indicador este/oeste2 E E= este

Modo A A= Autonomo, D=DGPS, E=DR

Chequeo *10

<CR><LF> Fin y mensaje terminado 1. Un estado válido se deriva del protocolo binario SiRF M.I.D.2 modo de posición 1. Consulte el Manual de referencia del protocolo Binario SiRF. 2. La Tecnologia SiRF Inc. no es compatible con la declinación magnética. Todos los datos son direcciones WGS84 geodésicas.

Como se notara, la hora se leerá utilizando el formato UTC que es el Tiempo Universal Coordinado, es la zona horaria de referencia respecto a la cual se calculan todas las otras zonas del mundo. El UTC se mide por los relojes atómicos. Debido a que la rotación de la Tierra se ralentiza, es decir se retrasa con respecto al tiempo atómico. UTC se sincroniza con el día y la noche de UT1, al que se le añaden o quitan segundos intercalares tanto a finales de junio como de diciembre, cuando resulta necesario. La puesta en circulación de los segundos intercalares se determina por el Servicio Internacional de Rotación de la Tierra, con base en sus medidas de la rotación de la tierra.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

169 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

6.1.8 Prueba del reloj de tiempo real

Como el proyecto a realizarse requiere de un reloj en tiempo real, para tener el control del tiempo en los eventos, acciones, y demás. Se utilizó el DS1307 este tipo de relojes son circuitos integrados con baterías individuales, y cristales de cuarzo para definir las unidades de tiempo. Los relojes en tiempo real se comunican por medio del protocolo I²C. Este reloj puede contar años, meses, días, hora, minutos, y segundos. En la figura 6.30 se observa la apariencia física del reloj junto con el circuito de control que se implementó en esta tesis.

Figura 6.149 Placa del Reloj de tiempo real

6.1.9 Prueba de funcionamiento de la comunicación

Para el uso de este protocolo de comunicación el compilador MikroC, cuenta con la librería: UART, está disponible en la pestaña de librerías y cuenta con las siguientes funciones para su uso: UART1_Init (const unsigned long baud_rate).- inicializa el módulo USART, y establece la velocidad de comunicación definida en el parámetro: baud_rate. La función: char UART1_Data_Ready ().- determina si hay un dato listo para ser leído en el búfer de llegada del módulo, si la función da un 1 el dato puede ser leído, de lo contrario no hay datos nuevos en el búfer. La función: char UART1_Tx_Idle ().- establece si el búfer de transmisión se encuentra ocupado enviando un dato, dando un 1 si el búfer está ocupado, o 0 si el módulo está disponible para enviar un nuevo dato. La función: char UART1_Read ().- retorna el valor del búfer de entrada. La función: UART1_Read_Text (char *Output, char *Delimiter, char Attempts).- lee una cadena de caracteres y la guarda en el apuntador Output, el apuntador Delimiter, es un apuntador a una cadena de caracteres que contiene el texto definido como fin de la

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

170 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

cadena de texto de entrada, el parámetro Attempts, define la longitud de la cadena de caracteres del delimitador de fin de cadena Delimiter. La función: UART1_Write (char _data).- transmite el dato ingresado en el parámetro de entrada, por el USART, para establecer y acoplar la comunicación de un PIC, con un dispositivo RS232, se debe usar un convertidor conocido como MAX232, “visto en uno de los capítulos anteriores de esta tesis”, a continuación en la Fig. 6.31 se muestra la placa impresa del circuito para la comunicación serial.

Figura 6.150 Placa de la Comunicación serial

En esta figura se pueden apreciar los hilos de alimentación rojo y negro junto con los hilos de comunicación Rx verde y Tx azul. 6.1.10 Prueba de funcionamiento de la interfaz gráfica (opcional)

Como se vio anteriormente VB es un entorno en el cual podemos hacer la interacción HMI. La interfaz elaborada es simple y permite hacer un bosquejo de los datos que se recibe a través del puerto serie.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

171 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Figura 6.151 z Gráfica creada en VB6

La interfaz gráfica realizada cuenta con la comunicación serial, las señales muestreadas a través del dispositivo construido es enviada al ordenador, este se encarga de hacer un esquema de las datos que están llegando. Para ello se utilizó una herramienta llamada MsChart el cual permite realizar gráficos dinámicos en 2D. Además de guardar la información en un archivo .txt, en la interfaz se observa la fecha y la hora. En la actualidad existen muchos programas que permiten realizar este tipo de trabajo, con una serie de ventajas. 6.1.11 Prueba de funcionamiento del capturador de eventos.

El trigger de eventos es un programa que se encarga de comparar los valores dados por el sensor sísmico con una magnitud definida por el usuario cuando dicha magnitud censada sobrepasa o es igual al valor de la magnitud estándar impuesta por el operador, estos datos son enviados a guardar en una memoria micro SD. Se debe recalcar que cuando se da el valor por encima del valor establecido los datos a grabar deben ser tomados unos pocos segundos antes que se de ese pico, para hacer esto se utilizó los punteros y uno en especial conocido como buffer circular. El buffer circular es un recurso de programación útil en el intercambio de todo tipo de datos. Es un buffer o array ordinario que adopta su nombre por la forma en que se ponen y sacan sus elementos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

172 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

Un buffer circular trabaja con dos índices, llamados Inpointer y Outpointer, son simples variables que operan como índices para acceder a los elementos del buffer. Ambos índices tienen avance incremental y cíclico, es decir, se incrementan de uno en uno y luego de apuntar al último elemento del buffer vuelven a apuntar al primero.

0 1 2 3 4 5 6 7 N-1……..

Número de Elemento

Puntero desalida

Puntero de entrada

Buffer

Figura 6.152 Buffer circular de N elementos

Al inicio los dos índices apuntan al primer elemento del buffer. La forma de incrementarse se explica a continuación.

Cada nuevo dato a guardar en el buffer será depositado en la casilla actualmente apuntada por Inpointer (puntero de entrada). A continuación Inpointer se incrementa en 1.

Por otro lado, cada dato que salga del buffer será el de la casilla actualmente apuntada por Outpointer (puntero de salida). A continuación Outpointer se incrementa en 1.

Como se puede observar se tiene un funcionamiento FIFO: los primeros datos en entrar serán los primeros en salir; en tanto haya espacio en el buffer siempre se podrán meter más datos sin importar en qué posiciones vayan, evitando el riesgo de sobrescribir posiciones ya ocupadas. Para saber si en el buffer hay espacio para meter más datos o si hay al menos un dato que sacar, se debe usar la diferencia entre las posiciones de los punteros, para ello es preferible emplear una variable adicional que se incremente cuando el dato se ha ingresado y se decremente cuando el dato ha sido extraído. 6.2 Redacción de un manual de funcionamiento del equipo construido. Una vez que se ha visto la forma de funcionamiento de cada una de las partes que forman el proyecto, únicamente queda unir todas en un solo bloque, y determinar la forma de funcionamiento de manera integral como a continuación se describe.

Al conectar la fuente de poder y encender el equipo se esperara un cierto tiempo para que todos los dispositivos conectados la placa principal funcionen al 100%.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

173 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

El ADC recoge los datos del sensor sísmico, los cuales han sido filtrados y tratados mediante los circuitos anti-aliasing en configuración Sallen-Key, y el AMP de instrumentación. Fig. 6.36

Figura 6.153 Recolección de Datos del ADC

El ADC hace la conversión y los tres datos recogidos son enviados al micro, el

micro hace el proceso de comparación con la magnitud estándar dada, si es mayor o igual a dicha magnitud los datos serán grabados en la memoria micro SD y también serán enviados a mostrar por medio de la pantalla del GLCD. Tal como se muestra en la siguiente Fig. 6.37.

Figura 6.154 Guardar datos en la memoria

Recordemos que se tiene también un GPS y el reloj de tiempo real para

mantener una referencia con el instante o momento en el cual se produzca cualquier variación por encima de la magnitud dada.

En la última etapa opcional el micro envía los datos por medio del puerto serial a la PC, en donde por medio de una interfaz gráfica en Visual Basic hará el proceso de hacer un bosquejo y guardar los datos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

174 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

CAPÍTULO 7

CONCLUSIONES Y RECOMENDACIONES 7. Introducción Es importante mencionar que para realizar este trabajo (Implementación del Acelerógrafo), se han tenido que revisar conceptos básicos de electrónica, de las comunicaciones, de microprocesadores, de sistemas y señales. Y también adquirir nuevos conocimientos que permitan culminar esta tesis, sobre todo con respecto a los programas utilizados a las forma de programación y desarrollo del software para el dispositivo. Adicionalmente se han encontrado otras alternativas para que el proyecto funcione de forma apropiada y fácil. 7.1 Conclusiones

Antes de comenzar cualquier proyecto de implementación, debemos revisar

profundamente todos los conceptos necesarios para poderlo abordarlo de manera adecuada, caso contrario se corre el riesgo de cometer errores y de tomar caminos que a la final no llevan al resultado esperado.

Tener claro, el funcionamiento de cada una de las partes o bloques del proyecto, así como su funcionamiento integral permite que no desviemos la atención a otras cosas.

Cuando se trabaja con señales de frecuencias bajas, hay que recordar que suelen aparecer una especie de ruido de alta frecuencia, (aliasing) el cual de alguna manera hay que limitarlo o eliminarlo aplicando filtros conocidos como sallen-key.

Los programas y simuladores a utilizar deben contar con todas los prestaciones necesarias para el desarrollo de cualquier proyecto, caso contrario se tienen limitaciones, tanto en el uso de ciertos herramientas, como en el desarrollo mismo del programa, si aplicamos un crack estos suelen tener ciertas restricciones de actualización, obviamente se corre el riesgo de que en algún momento el programa no funcione adecuadamente, o simplemente que la PC donde se trabaje muestre cierto deterioro, ya sea al momento de arranque, o se limite en la velocidad de procesamiento, es muy frecuente también que el antivirus actué y elimine el crack.

A la hora de programar un micro controlador cualquiera, se deben analizar la descripción del mismo en la hoja de datos, en ella se pueden encontrar información clave, que permite solucionar una serie de inconvenientes.

El uso de dispositivos que permitan comprobar el funcionamiento de los circuitos a realizarse suele ser de vital importancia, osciladores, generadores de onda, multímetros etc. Por lo tanto es indispensable conocer la forma de manejarlos de esta forma evitar pérdidas o daños de estos equipos.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

175 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

A la hora de probar un circuito, se debe realizar una revisión exhaustiva de cada una de las conexiones, la fuente de voltaje que este lo suficientemente estable sin picos libre de ruido.

A veces es necesario, tener más de una fuente que alimente a diferentes partes del circuito, por ejemplo una fuente que alimente la parte del Touch otra la parte del filtrado, para evitar la caída de tensión ocasionada por el excesivo consumo de corriente, o simplemente dimensionar una fuente con regulación de carga.

En la comunicación SPI, suelen presentarse interferencias de los circuitos a su alrededor, por lo tanto el uso de una jaula de Faraday es requerida para evitar este tipo de inconvenientes.

Recordemos que la simulación representa una aproximación del funcionamiento real del circuito o dispositivo construido, se debe tener en cuenta esto para que al armarlo en la práctica realizar cambios o variaciones para hacerlo trabajar.

La mejor manera de armar un proyecto es ensamblarlo por partes, es decir hacer una parte de comunicaciones, de almacenamiento, de procesamiento, de localización etc., y luego irlas uniendo una por una, caso contrario si corre el riesgo de que el proyecto no funcione.

Luego de la calibración del acelerógrafo se debe tener cuidado de que el dispositivo no sufra, golpes o movimientos bruscos porque al ser un sensor de alta sensibilidad suele descalibrarse con facilidad, y así evitar, calibrarlo nuevamente.

La interfaz gráfica en la PC, se consideró opcional ya que hay otros programas de interfaz en los que los datos pueden ser analizados de forma más profunda como es el caso de Matlab. Además de que el dispositivo va estar alejado de la estación base lo que le dificultara su conexión permanente con un ordenador.

Se pensó inicialmente dejar integrado un modem de comunicación CDMA pero el costo de este equipo es alto y se desechó esta posibilidad, el dispositivo construido va ser presentado en protoboards debido a que este, pasara a formar parte de un equipo más grande pudiendo sufrir cambios.

7.2 Recomendaciones

Se recomienda para este tipo de proyecto contar con las licencias de todos los

programas a utilizar, con eso se evita las limitaciones tanto de número de líneas en el programa, utilización de librerías, ayudas etc.

Los dispositivos de medida también son importantes, por lo tanto antes de utilizar cualquier aparato o instrumento de medición, se recomienda revisar su manual de funcionamiento, conexiones especiales, rango de medidas, parámetros estándar etc.

Un buen entendimiento del dispositivo a construir, agiliza el trabajo, mejora el rendimiento y lleva menor tiempo en la resolución de este, es importante por lo tanto dedicar un tiempo a entender el funcionamiento integral como particional del dispositivo a realizar.

Si se tiene problemas con el funcionamiento de una parte o porción del circuito, siempre hay otras alternativas u otras formas de realizar la misma tarea

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

176 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

empleando elementos diferentes, en este caso al utilizar un ADC de 24 bits y haciendo la programación como dicta la hoja de datos se encontró que la variación de los bits más significativos era grande como para poder tener un buen control sobre ellos, se trató de solucionar dicho inconveniente pero no se consiguió así que se optó que utilizar un ADC de 12 bits de similares características y que se acoplo de forma adecuada al proyecto.

Al iniciar el funcionamiento del dispositivo construido, hay elementos o partes de este que tienen un tiempo de inicialización mayor por lo tanto, hay que esperar que todos los elementos conectados a la placa principal estén funcionando al 100%.

El diseño de una fuente robusta y sin ruido, requiere del cálculo de la corriente total consumida por el dispositivo esto implica que la fuente es la última parte del circuito que se debe armar.

Mantener un respaldo conforme se avance, en este tipo de proyectos es sumamente importante para ello se puede utilizar cualquier tipo de dispositivo de almacenamiento ya sea un disco duro, memoria flash, cd, etc. Así en caso de cualquier percance se tendrá guardado hasta la última modificación que se haya realizado.

La fuente es la parte más importante, de todo proyecto, su protección y su capacidad hacen de esta su característica primordial en este caso la fuente construida tiene el propósito de mantener la carga de una batería de 12 voltios para en casos de quedarse sin suministro eléctrico esta entre a sustituir la alimentación DC de la fuente, es importante entonces construir una fuente robusta, y eficaz a la hora de cumplir con su cometido.

REFERENCIAS

Referencias capítulo 1: [1] Jens Havskov and Gerardo Alguacil. Instrumentation in Earthquake Seismology. Editorial Springer (2010). [2] Dr. A. Trnkoczy & I. M. Standley. Transfer Function of Kinemetrics Instruments Pdf. Noviembre 2009. [3] Kinemetrics Inc. User’s Guide. The FBA-23 Force Balance Accelerometer Pdf. Septiembre 1997. [4] http://geofisicasismospgf.blogspot.com/p/ondas-p-y-ondas-s.html

Referencias capítulo 2: [1] Redes y Servicios. Panorámica de las telecomunicaciones. Tema 4: Digitalización y Modulación (Graficas) Pdf. [2] J.I.Escudero, M.Parada, F.Simón. TEMA 8: CONVERTIDORES A/D (ADC) Pdf. [3] FRIES, Bruce y FRIES, Marty. Audio digital práctico. Ed. Anaya Multimedia. 2005 [4] Huircán, Juan Ignacio. Conversores Análogo-Digital y Digital-Análogo: Conceptos Básicos. Pdf.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

177 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

[5] Silva Lutty Carlos Pablo, Desarrollo de herramientas de Alto Nivel y aplicaciones de conectividad y almacenamiento para el Micro controlador MSP430 Pdf. Noviembre 2007. [6] Ing. Jorge R. Osio, Ing. Luis Antonini, Ing. Walter Aróztegui, Ing. José A. Rapallini. Descripción General de un Micro controlador (Módulos de Comunicación) Pdf. Septiembre 2012. [7] Ing. Eric López Pérez, INGENIERIA EN MICROCONTROLADORES. Protocolo RS-232 Pdf. [8] M. Virginia Mackern, Estaciones Permanentes Cuyo 2004. ¿Qué es la georeferenciación? Pdf. [9] GeoTool Box Ibérica SL, NECESIDAD DE PUNTOS DE APOYO EN LA AEROTRIANGULACIÓN. GEORREFERENCIACION DIRECTA Pdf.

Referencias capítulo 3: [1] Ricardo A. Rojas, Mario E. Salgado, Juan I. Yuz. ANALISIS DE SISTEMAS LINEALES Pdf. 11 de septiembre de 2003 [2] Prof. William La Cruz Bastidas. Tema 9. Transformada de Fourier Pdf. 28 de junio de 2002. [3] Prof. William La Cruz Bastidas. Tema 11. Transformada z Pdf. 2 de julio de 2002. [4] Néstor A. Arias Hernández -Unipanplona. Procesamiento digital de señales Pdf. marzo de 2010. [5] Antoniu A. Digital Filters. Analysis, Design and Applications. 2da Edición.,McGraw-Hill.

Referencias capítulo 4: [1] J. Mª Angulo, A. Etxebarría, I. Angulo e I. Trueba. dsPIC. Diseño Práctico de Aplicaciones. Editorial Mc Graw-Hill (2006). [2] J. Mª Angulo, B. García, I. Angulo y J. Vicente. Microcontroladores Avanzados dsPIC. Editorial Thomson (2006). [3] A. Etxebarria e I. Angulo. Filtrando señales con dsPIC. Revista Española de Electrónica. Nº 614 pp42-43. Marzo 2006.

Referencias capítulo 5: [1] J Eduardo García Breijo. Compilador C CCS y Simulador PROTEUS para microcontroladores. Ediciones Marcombo. Primera edición: Alfa omega Grupo Editor, México, junio de 2008. [2] Andrés Cánovas López. Manual Del Usuario del Compilador PCW de CCS. Reditado para formato PDF por Víctor Dorado. [3] Behrouz A. Forouzan, Transmisión de datos y redes de comunicaciones, Segunda Edición, Mc Graw Hill, ISBN 84-481-3390-0. [4] Boylestád Robert, Electrónica: Teoría de Circuitos, Sexta Edición, PRENTICE HALL, ISBN 968-880-805-9.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

178 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

[5] Coughlin Robert, Amplificadores operacionales y circuitos integrados lineales, Quinta Edición, PRENTICE HALL, ISBN 970-17-0267-0. [6] Dorf Richard, CIRCUITOS ELECTRICOS Introducción al Análisis y Diseño, Tercera Edición, Alfa omega, ISBN 970-15-0517-4. [7] Juan Ricardo Clavijo Mendoza. Programación con MikroC PRO. Simulación en Proteus ISIS. Diseño y simulación de sistemas microcontrolados en lenguaje C. Primera edición mayo de 2011.

Referencias capítulo 6: [1] J Ricardo Clavijo Mendoza. Diseño y simulación de Sistemas Micro controlados en lenguaje C. ISBN 978-958-44-8619-6. Primera edición. Colombia, mayo de 2011. [2] SiRF Technology, Inc. NMEA Reference Manual. Revision 2. Copyright 1996-2007. SiRF Technology. Inc, ISBN 84-481-3390-0. 1 de diciembre 2007. [3] Notas de Microchip. http://www.microchip.com/TechDoc.aspx?type=appnotes.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

179 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

ANEXOS

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

180 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

ANEXO 1: Placa del Acelerógrafo FBA-23 a realizar.

Universidad de Cuenca Facultad de Ingeniería Escuela de Eléctrica

181 Juan Carlos Bernal Mejía Luis Jhofre Espinoza Sigüencia

ANEXO 2: CD adicional en donde están los programas y placas a realizarse.