quartus tutorial001

Upload: alfredo-martin-machacuay-siuce

Post on 20-Jul-2015

762 views

Category:

Documents


0 download

TRANSCRIPT

Quartus Tutorial

1. Introduccin:

Esta sesin de tutora tiene el objetivo de familiarizar con las herramientas necesarias para completar las sesiones con base en los tablones de FPGA. En primer lugar vamos a cubrir los aspectos bsicos del software. A continuacin, se entra en un diseo simple de la pieza ser simulado. Por ltimo, habr una breve descripcin de la descarga del diseo de la FPGA. 2. Quartus conceptos bsicos del programa: 2.1 Descarga: La herramienta Quartus es proporcionada por Altera FPGA de sus consejos. Est disponible para su descarga desde el siguiente sitio web. https://www.altera.com/support/software/download/altera_design/quartus_we/dnl -quartus_we.jsp La versin actual es la versin 9.0 Service Pack 2 (1.31GB). Descargar este e instalar en su computadora porttil. Esta versin no requiere una licencia. Las estaciones de trabajo de laboratorio tiene una versin posterior cada de 7,2 que se sabe que funciona en el curso anterior. En caso de que tenga problemas puede obtener esta versin de la seccin de archivos del mismo sitio. 2,2 Proyecto de creacin: Inicie el software Quartus de sus archivos de programa. Todos los programas/Altera /QuartusII Web Edition 9.0/QuartusII 9.0sp2 Web Edition (logo azul) El programa de Quartus se inicia con la siguiente pantalla con las opciones para crear un nuevo proyecto o abrir un proyecto existente.

Como alternativa, puede abrir un nuevo proyecto o un proyecto existente, vaya al men de archivo.

Crear un proyecto nuevo con una de las opciones anteriores. Usted obtiene la siguiente pantalla para configurar el directorio del proyecto, nombre del proyecto y el dispositivo FPGA de destino. Haga clic en siguiente.

En la pantalla de arriba, el directorio de trabajo fue elegido por la preferencia del usuario. La ubicacin predeterminada habra estado en el directorio de

instalacin. Cada actualizaciones de la versin de Quartus crea una instalacin independiente carpeta. Por lo tanto, es aconsejable tener una carpeta separada para los proyectos de Quartus. Esta eleccin se deja al usuario. Introduzca el nombre del proyecto y haga clic en Siguiente para obtener la siguiente pantalla. Salta la siguiente pantalla en la adicin de archivos y pasar a la pantalla sobre la configuracin de un entorno familiar y del dispositivo.

Altera tiene una larga lista de los chips FPGA incluyendo Flex10k, Max7000, Stratix, el cicln (I, II, III).Nuestro laboratorio es compatible con dos tarjetas para el curso es decir, UP2 y DE2. UP2 de mesa que se ha utilizado en los cursos acadmicos anteriores y tiene la Flex10k Max7000 chips de la serie. La junta DE2 es la versin ms reciente y tiene el chip Cyclone II sobre el mismo. Tambin tenemos tablas para Cyclone III. Dependiendo de la familia de FPGA, el nmero de componentes lgicos que puede ser programado en el chip vara.

Para el tutorial, seleccione Cyclone II en el buzn de la Familia. En el cuadro de dispositivos disponibles, seleccione EP2C35F672C6. Puede identificar el chip de la derecha y nmero en la tarjeta chip FPGA de Altera. Si un tablero diferente que se elija, elegir en consecuencia el chip usado en esa junta. Salta la siguiente pantalla de herramientas EDA. Esto est previsto, si se desea utilizar herramientas externas de EDA de la sinopsis o cadencia. Altera / Quartus ofrece construido en un instrumento para recopilar Verilog, VHDL, AHDL y un analizador de tiempo. Esto es suficiente para las necesidades de nuestros cursos. Haga clic en Finalizar en la ltima pantalla. 2.3 Diseo de la entrada: En el men archivo, elija nuevo archivo y que se obtendra la siguiente pantalla.

Hay varios tipos de archivos que aparecen en la pantalla anterior. Diagrama de bloques de entrada / archivo esquemtico es elegido para la entrada grfica del esquema y es la forma preferida de la entrada lgica de diagrama. Archivo Verilog y VHDL tambin se apoya a la entrada de la descripcin del circuito en

esos idiomas. Tambin tenemos un archivo para el archivo de inicializacin de la memoria. Este archivo se utiliza para almacenar un cierto patrn de hexadecimales dgitos. Esto es til en el laboratorio de diseo avanzados de informtica de hardware. El otro archivo de inters es la forma de onda del archivo vectorial. Este archivo se utiliza para configurar las formas de onda de pruebas para la entrada y observar la salida despus de la simulacin. Elija Diagrama de bloques / Esquema de entrada para proceder con el diseo del proyecto. El nombre predeterminado sera Bloque #. BDF. Guardar este archivo en el nombre del archivo de su eleccin. El primer archivo del proyecto general, se guarda en el nombre de proyecto predeterminado. Establecer este archivo particular por lo que su entidad de nivel superior en el diseo como se muestra en la siguiente pantalla - Proyecto de / en Establecer como entidad de nivel superior.

Si ahora van al Proyecto de Archivos de Add/Remove , te encontrars con una pantalla que nos habamos encontrado durante el proyecto puesto en marcha. Y te dars cuenta de la imagen actual aparece en el cuadro. En la ventana de esquema, te dars cuenta de un men grfico de la izquierda. Al pasar el ratn sobre estos

mostrar una lista de opciones de herramienta de seleccin, la herramienta de texto, la herramienta de smbolo, la herramienta de bloque, la herramienta nodo ortogonal, la herramienta de bus ortogonal y algunas opciones para rotar / girar sus smbolos. Para empezar, haga doble clic en la ventana de esquema para obtener la pantalla siguiente que enumera las diversas bibliotecas y smbolos en la lista. Ampliar la seleccin para ver las listas de varios smbolos.

Altera proporciona a las entidades predefinidas de la biblioteca para las funciones de Mega a la medida de sus preferencias), bibliotecas MaxPlus que incluyen los 74 chips de la serie de uso comn en los protoboard de laboratorio, as como algunos primitivos. El cuadro de nombre le permite a la bsqueda o un smbolo particular (por ejemplo, de entrada, y 2, no de salida, nor2 etc.) El asistente de Mega Plug-in Manager le da ms opciones para crear diseos personalizados. Esto se muestra ms adelante.

Seleccione el smbolo de la lgica que desee introducir y pulse Aceptar para insertar en la ventana de esquema. Colocarlos en cualquier lugar en el esquema. Ellos pueden moverse haciendo clic sobre ellos y arrastrndolos a la nueva posicin. Para el tutorial, vamos a tener una lgica simple con NAND, AND y una puerta OR.

Elija primitivos / Logic / nand2, de manera similar a nor2 y and2.

Inserte los smbolos de entrada y salida, siguiendo el mismo procedimiento. Estos smbolos tambin se enumeran en la seccin de primitivas (o entrar de entrada o salida en el cuadro de nombre).

Tambin puede introducir el chip real (7400 para NAND y 7402 a favor ni) de la biblioteca MaxPlus que est disponible en los "otros" maxplus2 / 7.400, de manera similar para 7402. No puedes ver las hojas de datos disponibles en sitios web como alldatasheet.com para estos nmeros de chips y nmeros PIN para obtener ms detalles. Si desea eliminar una entrada incorrecta, seleccione el smbolo y presione la tecla SUPR en el teclado. Conecte los componentes utilizando la herramienta nodo ortogonal (alambre fino) disponible en el men de la izquierda. Esto permite dibujar lneas vertical / horizontal. Usted tendr que hacer clic y mantener mientras dibuja. Asegrese de que no hay espacios para garantizar la correcta conexin entre las dos terminales. Mover uno de los smbolos de forma automtica debe estirar el cable conectado, si no hay rupturas en los cables. Cambiar el nombre de los pines de entrada y salida haciendo doble clic en ellos. Usted obtendr la pantalla pop-up siguiente.

Introduzca el nombre correspondiente para el nombre del pin. Crear el esquema siguiente.

La lgica anterior se puede reutilizar como una entidad en un nuevo diseo esquemtico diferente. Para ello, usted tendra que crear un fichero de smbolos para el esquema dado.

Seleccione el men Archivo Crear / de actualizacin / Crear archivo de smbolos de archivo actual. Adems, usted puede editar el archivo de smbolos mediante la apertura de ella y realizar cambios en el archivo de smbolos. Un nuevo archivo se crea con el nombre predeterminado que el archivo ya existente mismo, pero es la extensin. Bsf (archivo recuadro del smbolo). Como alternativa, puede crear manualmente un archivo nuevo smbolo del nuevo archivo Archivo / Otros archivos archivo recuadro del smbolo e introduzca los pines de entrada y salida. Esto no es recomendable para la clase, ya que puede faltar pines que podran causar que los errores de compilacin ms tarde. Para utilizar este esquema en un esquema diferente, abrir un archivo de bloques esquemtico nuevo. Archivo / Nueva bloques esquemtico archivo Ya que estamos una instancia del smbolo esquemtico otro en este nuevo archivo, tendremos que establecer el nuevo archivo como la entidad de nivel superior despus de que se ha guardado en un nombre de archivo. Con la ventana de nuevo archivo seleccionado, elija Establecer como proyecto de alto nivel de la entidad.

En el nuevo archivo, haga doble clic para insertar un smbolo. En el men, bajo el Proyecto, podr ver el archivo de smbolos creado previamente en la lista. Seleccione esta y la inserta en el esquema.

Usted puede configurar un nuevo conjunto de pines de entrada / salida en el nuevo esquema.

Hemos completado la introduccin del esquema y la creacin de smbolos.

3. La compilacin y simulacin: Para compilar el proyecto, haga clic en el procesamiento de iniciar la compilacin. Esto llevara a cabo una serie de pasos de anlisis y sntesis, Fitter (Lugar de carreteras y planos), ensamblador y anlisis de tiempos. Alternativamente, usted puede hacer esto un paso ms en un momento, vaya a inicio de procesamiento y elegir cada paso en la secuencia de uno en uno.

La ventana muestra los mensajes de compilacin. Si hay algn mensaje en rojo, tienen que ser fijos. Para llevar a cabo simulaciones, tenemos que configurar la entrada de la simulacin y los vectores de salida. Crear un nuevo archivo (archivo vectorial de forma de onda). Usted debe obtener un archivo de forma de onda en blanco como se muestra en la siguiente captura de pantalla.

La particin de la izquierda (Nombre), sera donde los nodos se agregan. La particin derecha es donde la entrada y formas de onda de salida se observan. Para seleccionar los pines de entrada y salida que estn siendo observadas para las simulaciones, haga clic derecho en el panel Insertar/ Nombre del nodo o el autobs. Esto debe darle la siguiente pantalla

Haga clic en el nodo del buscador para llegar a la siguiente captura de pantalla

El "look in" identifica el archivo de esquema desde el que est en la lista del nodo. Haga clic en Lista. El panel de la izquierda identifica los nodos encontrados. Seleccione los nodos necesarios y enviarlos a el panel derecho, haga clic en la flecha derecha. Haga clic en Aceptar para volver a la insercin de nodo / bus de la ventana. Haga clic en Aceptar para regresar a la pantalla de forma de onda del vector.

El patrn de forma de onda de la entrada inicialmente por defecto cero. Usted puede cambiar el patrn con el valor deseado de alta o baja dibujando un rectngulo para cada segmento que desea cambiar con el ratn y eligiendo la opcin de alta o baja. Guarde el archivo de forma de onda del vector. Haga clic en simular el icono que se muestra en la figura de abajo para obtener los resultados de salida de la simulacin

Tome un momento para validar los resultados para cada conjunto de entradas. La salida debe corresponder a la lgica implementada en el esquema.

Guarde el proyecto (Archivo / Guardar proyecto)

Quartus proporciona un medio para archivar los archivos en un pequeo archivo quartus que es conveniente para la transferencia a una unidad flash o subirlo a tu correo electrnico. Puede restaurar los archivos de este archivo en un equipo diferente o simplemente guardar estos archivos como una copia de seguridad para sus diseos. Para ello, en primer lugar comprobar si todos los archivos

necesarios estn presentes en el proyecto (Project / archivos Add/Remove en proyecto).

Para archivar: haga clic en Proyecto/ Proyecto Archivo / que resulta en la siguiente ventana emergente.

Todos los archivos relevantes para el proyecto se incluir automticamente y se archivan. Usted puede localizar el archivo guardado en su proyecto name.qar (Quartus II Archivo Archivo) en el directorio donde se cre el proyecto inicialmente. Para restaurar, abrir el proyecto y haga clic en Quartus / Restaurar archivo guardado. Examinar para buscar el. QAR archivo y siga las instrucciones en pantalla con respecto al directorio en el que el proyecto necesita ser restaurado. 4. Transferir el diseo de FPGA 4.1 La configuracin inicial de placa DE2: Los detalles de la junta se puede encontrar en el manual de usuario DE2 encuentra en el siguiente enlace. ftp:llftp.altera.comluplpublWebdocslDE2_UserManual.pdf

Antes de transferir el binario compilado para la junta FPGA, usted tiene que asignar el nmero de PIN / los nombres de los pines de entrada / salida en el esquema. Ir a las asignaciones de alfileres para obtener la siguiente captura de pantalla.

Las asignaciones de los pines de los dados de entrada / salida a los pines correspondientes IObanks en el chip FPGA. Usted tiene la libertad de elegir cualquier IOBank y el nmero de pin. Ms adelante, mostraremos la forma de asignar los pines de un interruptor en particular o dirigido. Ahora que las asignaciones se han realizado, compilar el proyecto de nuevo. Haga clic en Herramientas del programador para abrir una nueva ventana que nos permite transferir el binario de la FPGA. Asegrese de que su ordenador est conectado a la placa FPGA. En el caso de los tableros (dE2 Cyclone II), se puede conectar directamente a travs del puerto USB de su ordenador. Respecto a las placas mayores con MAX / FLEX fichas, tendra que conectarse a travs del puerto de la impresora. La instalacin de un programa desintegrador byte tambin se requiere para el mtodo de puerto de

la impresora. Estos se han creado en los equipos de laboratorio para las tablas anteriores.

Cuando el hardware est conectado, el botn de inicio est habilitada. Haga clic para transferir el archivo de sof a la FPGA. El circuito lgico est programado en la FPGA. Conexin de los cables externos a los pines correspondientes en los IOBanks a las paneras, se puede simular la lgica con formas de onda de la seal externa. 4.2 Altera USB Blaster conductor: Esto se debe ya vienen con la instalacin Quartus. Compruebe el directorio de controladores en la carpeta de instalacin de Quartus. C: \ \ ser modificadas en 90sp2 Quartus \ \ drivers

1. Conecte el cable de descarga USB-Blaster en el PC. El cuadro de dilogo Nuevo hardware encontrado. 2. Seleccione Buscar e instalar el software del controlador (recomendado). 3. Seleccione No buscar en lnea. 4. Cuando se le pida que inserte el disco que viene con el USB-Blaster, seleccione No tienen el disco. Mostrar otras opciones.

5. Seleccione Buscar software de controlador (avanzado) cuando vea el de Windows no pudo encontrar el software del controlador para su dispositivo. 6. Haga clic en Examinar ... y vaya a la \ drivers \ usb-Blaster directorio. Haga clic en Aceptar. {C: \ alteraciones \ \ 90sp2 Quartus \ drivers \ x32} 7. Seleccione la opcin Incluir subcarpetas y haga clic en Siguiente. 8. Si se le pide que Windows no puede comprobar el editor de este software de controlador, seleccione Instalar este software de controlador de todas formas en el cuadro de la ventana de dilogo Seguridad. 9. Comienza la instalacin. 10. Cuando el software de este dispositivo se ha instalado correctamente, haga clic en Cerrar.

En la ventana del programador anterior, puede que tenga que configurar la configuracin del hardware Altera (esquina superior izquierda). Cambiar el hardware seleccionado en ese momento a USB-Blaster y cerrar la ventana.

4,3 Quartus II versin 4.2 y posteriores

1. Inicie el software Quartus II. 2. Seleccione Programador en el men Herramientas. La ventana del Programador se abrir. 3. Haga clic en la configuracin del hardware ... botn para abrir la ventana de configuracin de hardware. una. El hardware de programacin seleccionado se identifica como de hardware Seleccionado. b. Hardware de programacin que ya est configurado aparece en la ventana de elementos de hardware disponibles. 4. Haga clic en el botn para agregar hardware para abrir la ventana para agregar hardware si el hardware de programacin que desea utilizar no aparece en el hardware disponible ventana de artculos. una. Seleccione el cable de programacin adecuada o hardware de programacin de la lista tipo de hardware. b. Seleccione el puerto apropiado y la velocidad si es necesario. c. Haga clic en Aceptar. 5. Seleccione el hardware de programacin que desea utilizar mediante la eleccin en el hardware disponible elementos de lista. 6. Haga clic en Cerrar. 7. El hardware de programacin se ha establecido.

4.4 Configuracin de utilizar interruptores y leds:

Cambiar el nombre de los pines de entrada / salida de SW # (#: de 0 a 17), ledg # (0-7) para los LED verde y LEDR # (0 a 17) para los LED de color rojo.

En la ventana de asignacin de pines (prendedores de misiones euros) Cambiar el nombre de los nodos y nmeros PIN para las siguientes entradas

Los valores de la ubicacin del interruptor y la ubicacin de Led se puede encontrar en el manual de DE2-Tabla 4.1 (pgina 28) y en la Tabla 4.3 (4.3).

Compile de nuevo y descargar el programa para probar su configuracin. Recuerde cambiar el nombre de los nombres de los pines correspondientes a los LED / SW nombres en el esquema, as como tambin ventana de simulacin.

Los dems alfileres utilizados son los displays de 7 segmentos (Tabla 4.4) y las cabeceras de expansin (Tabla 4.7).

5. Los detalles adicionales respecto al uso de las funciones de Mega:

Al manejar grandes diseos, esta caracterstica de quartus ser muy til. Ofrece la biblioteca personalizada de grandes bloques funcionales, tales como sumador, matriz Flipflop, memoria, etc Abra la ventana de insercin de smbolo y escoja Administrador de Mega Plugin Wizard, como se muestra en la siguiente pantalla.

Usted obtiene un pop nueva ventana donde se puede crear un diseo personalizado o editar un diseo existente. Seleccione Nuevo y haga clic en Siguiente.

Ahora podrs conseguir una nueva pantalla que tiene muchas funciones de la biblioteca en el panel izquierdo. Elija el tipo de funcin que se desea construir. Para el ejemplo de tutorial, se muestra cmo construir un bloque de memoria de slo lectura personalizada. En el panel derecho, usted tiene la opcin de generar un Verilog o VHDL o un archivo AHDL.

Sera aconsejable que se mantenga consistente con un idioma en particular a travs del proyecto. Puesto que la clase utiliza VHDL en el curso de enfermedad coronaria avanzada, estamos eligiendo VHDL. Escriba un nombre de archivo para el bloque de VHDL personalizado. La siguiente pantalla le muestra cmo personalizar el bloque de funcin Mega como el nmero de bits de la direccin, los habilitados para el reloj, el ancho de bus, el tamao de la memoria. Haga clic en Siguiente y contine a travs de las pantallas siguientes hasta el final.

Haga clic en Siguiente hasta el final y el final.

Ahora es posible introducir este nuevo smbolo y proceder con su diseo. Resumen: Este tutorial cubre todos los aspectos introductorios de la herramienta Quartus de Altera. Los estudiantes deben estar en condiciones de aplicar el nuevo diseo y ejecutarlos en los tablones de FPGA