implementaciÓn de una tarjeta de adquisiciÓn y

114
IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y PROCESAMIENTO DE SEÑALES PARA EL MONITOREO DE DISTORSIÓN ARMÓNICA Y PARÁMETROS DE ESTADO ESTABLE EN REDES DE TENSIÓN MENOR A 1 KV DIEGO ALEJANDRO RAMIREZ MORALES Código: 20092007031 JONATAN DAVID VANEGAS GUERRERO Código: 20092007015 UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS FACULTAD DE INGENIERÍA PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA BOGOTÁ, COLOMBIA AGOSTO DE 2017

Upload: others

Post on 27-Nov-2021

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y PROCESAMIENTO DE SEÑALES PARA EL MONITOREO DE DISTORSIÓN ARMÓNICA Y PARÁMETROS

DE ESTADO ESTABLE EN REDES DE TENSIÓN MENOR A 1 KV

DIEGO ALEJANDRO RAMIREZ MORALES

Código: 20092007031

JONATAN DAVID VANEGAS GUERRERO

Código: 20092007015

UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS

FACULTAD DE INGENIERÍA

PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA

BOGOTÁ, COLOMBIA

AGOSTO DE 2017

Page 2: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y PROCESAMIENTO DE SEÑALES PARA EL MONITOREO DE DISTORSIÓN ARMÓNICA Y PARÁMETROS

DE ESTADO ESTABLE EN REDES DE TENSION MENOR A 1 KV

DIEGO ALEJANDRO RAMIREZ MORALES

Código: 20092007031

JONATAN DAVID VANEGAS GUERRERO

Código: 20092007015

Proyecto de Grado para optar por el título de

Ingeniero Eléctrico

DIRECTORES

MSc, PhD FRANCISCO SANTAMARIA PIEDRAHITA

MSc, PhD CESAR LEONARDO TRUJILLO RODRÍGUEZ

UNIVERSIDAD DISTRITAL FRANCISCO JOSÉ DE CALDAS

FACULTAD DE INGENIERÍA

PROYECTO CURRICULAR DE INGENIERÍA ELÉCTRICA

BOGOTÁ, COLOMBIA

AGOSTO DE 2017

Page 3: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

I

AGRADECIMIENTOS

A mis padres Alberto Vanegas y María del Carmen Astrith Guerrero Álvarez, por su paciencia y apoyo incondicional en este

camino que decidí emprender. Su ejemplo de fortaleza y

sacrificio ha sido siempre mi principal motivación.

Jonatan David Vanegas Guerrero

Primero a Dios quien nos guía y alienta cada día por este camino llamado vida, toda gloria para él; siendo quien ha puesto infinitas bendiciones en forma de personas tan geniales como aquellas que no tanto

pero que definitivamente han dejado lecciones de vida gratificantes e importantes.

A mi madre María Elvinia Morales por ser un constante e importante apoyo en momentos de extrema dificultad; por darme la chance a toda costa de estar aquí.

A mi padre Juan de Jesús Ramírez quien ha sido mi ejemplo a seguir por su constante lucha por sacar adelante a mis hermanos y a mí, incluso sacrificando sus merecidas oportunidades por brindarnos un futuro;

quien ha tenido además una paciencia conmigo y una lucha enorme con la vida.

A mi tía María Elena Ramírez quien siempre ha sido un ejemplo de madre, asi como de constancia y lucha, y también una gran artífice como ‘alcahueta’ en todos los proyectos que he pretendido iniciar.

A nuestros directores, los profesores Francisco Santamaría Piedrahita y Cesar Leonardo Trujillo, excelentes profesores y mucho mejor personas, por la confianza depositada y la oportunidad de trabajar en

el desarrollo de este Proyecto con los múltiples inconvenientes y alegrías presentadas. Además de las lecciones aprendidas en cada una de las materias que pude tomar con ellos.

A la Universidad Distrital Francisco José de Caldas, profesores, compañeros con quienes tuve la oportunidad de compartir momentos de alegría, sufrimiento, estrés, convivencia y de los que me llevo

recuerdos imborrables.

A mi compañero y amigo, Jonatan Vanegas, una persona estupenda con quien tuve la fortuna de desarrollar este Proyecto de Grado. Que muy posiblemente no hubiera tomado el camino que llevo sin esas

ideas maravillosas que parecía frotar cada instante y quien segura y merecidamente tendrá un camino exitoso en esta vida.

Diego Alejandro Ramírez Morales

Page 4: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

II

TABLA DE CONTENIDO

RESUMEN ................................................................................................................. VIII

INTRODUCCIÓN .......................................................................................................... 1

OBJETIVO GENERAL ........................................................................................... 3

OBJETIVOS ESPECIFICOS .................................................................................. 3

1. MARCO TEORICO .............................................................................................. 5

1.1. MONITOREO DE CALIDAD DE POTENCIA Y ARMONICOS EN REDES DE DISTRIBUCION ............................................................................................................. 5

1.1.1. Normativas ................................................................................................ 6

1.2. PROCESAMIENTO DIGITAL DE SEÑALES .................................................. 10

1.2.1. Elementos de un sistema DSP ................................................................ 11

1.3. TRANSFORMADA RAPIDA DE FOURIER .................................................... 15

1.4. AVANCES CAPITULO 1 ................................................................................ 16

2. DESARROLLO DE HARDWARE ...................................................................... 19

2.1. ETAPA DE SENSADO ................................................................................... 19

2.1.1. Sensor de tensión ................................................................................... 20

2.1.2. Sensor de corriente ................................................................................. 21

2.2. ETAPA DE ACONDICIONAMIENTO .............................................................. 23

2.2.1. Circuito de acondicionamiento de tensión ............................................... 23

2.2.2. Circuito de acondicionamiento de corriente ............................................. 30

2.3. CONTROLADOR DIGITAL DE SEÑALES TMS320F28335 ........................... 33

2.4. JTAG .............................................................................................................. 35

2.5. FUENTE DE ALIMENTACIÓN ....................................................................... 35

2.6. PCB ............................................................................................................... 36

2.7. AVANCES CAPITULO 2 ................................................................................ 39

3. IMPLEMENTACIÓN DE SOFTWARE ............................................................... 41

3.1. BLOQUE DE INICIALIZACION ...................................................................... 42

3.2. BLOQUE DE ADQUISICION DE DATOS ....................................................... 44

3.2.1. Configuración del conversor analógico digital ......................................... 44

3.2.2. Controlador de acceso directo a memoria ............................................... 44

3.2. BLOQUE DE MEDIDA ................................................................................... 46

3.2.1. Transformada Rápida de Fourier ............................................................ 46

Page 5: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

III

3.2.1. Magnitud y fase de cada armónico .......................................................... 47

3.2.2. Distorsión Armónica Total (THD) ............................................................. 47

3.2.3. Valor RMS de tensión y corriente ............................................................ 48

3.2.4. Valor pico de tensión y corriente ............................................................. 48

3.2.5. Potencia Activa y Reactiva ...................................................................... 48

3.2.6. Potencia Aparente ................................................................................... 49

3.2.7. Factor de Potencia .................................................................................. 50

3.3. BLOQUE DE ACTUALIZACION Y PROMEDIADO DE DATOS ..................... 50

3.3.1. Agregación de 12 y 180 ciclos ................................................................ 51

3.4. BLOQUE DE COMUNICACIONES ................................................................ 53

3.4.1. Interfaz Gráfica GUI ................................................................................ 53

3.4.2. Envío de datos por SCI/UART................................................................. 55

3.4.3. Configuración memoria Flash.................................................................. 56

3.5. AVANCES CAPITULO 3 ................................................................................ 56

4. PRUEBAS Y RESULTADOS ............................................................................. 57

4.1. PRUEBAS DE HARDWARE .......................................................................... 57

4.1.1. Pruebas a los sensores de tensión y corriente ........................................ 57

4.1.2. Pruebas del sistema de adquisición ........................................................ 61

4.2. PRUEBAS DE SOFTWARE ........................................................................... 66

4.2.1. Pruebas del bloque de medida ................................................................ 66

4.2.1.1. Prueba rectificador de media onda ...................................................... 67

4.2.1.2. Prueba motor trifásico conectado en delta ........................................... 71

4.2.1.3. Prueba carga conectada en estrella .................................................... 72

4.2.1.4. Pruebas de envío de datos a la tarjeta de comunicaciones. ................ 77

4.3. LISTA DE ELEMENTOS Y COSTOS ............................................................. 77

4.4. PLACA DE ESPECIFICACIONES .................................................................. 79

4.5. AVANCES CAPITULO 4 ................................................................................... 80

5. CONCLUSIONES Y TRABAJOS FUTUROS ..................................................... 81

5.1. CONLUSIONES ............................................................................................. 81

5.2. TRABAJOS FUTUROS .................................................................................. 82

6. BIBLIOGRAFIA Y REFERENCIAS .................................................................... 85

ANEXOS ..................................................................................................................... 89

A. FLUJOGRAMAS PARA LA ADQUISICION Y PROCESAMIENTO DE LAS SEÑALES .................................................................................................................... 89

Page 6: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

IV

A.1. Configuración Conversor A/D........................................................................ 89

A.2. Configuración Conversor A/D........................................................................ 90

A.3. Flujogramas para el cálculo de parámetros de estado estable y distorsión armónica ................................................................................................................... 91

A.4. Flujogramas para la agregación de resultados .............................................. 94

A.4. Flujograma para la comunicación UART ....................................................... 97

A.5. Flujograma del bloque de procesamiento ..................................................... 99

B. RESULTADOS PRUEBA CON CARGA EN ESTRELLA FASE B Y FASE C 100

Page 7: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

V

INDICE DE FIGURAS

Figura 1.1. Bloques típicos de un sistema DSP. ................................................................................ 11 Figura 1.2. Muestreo periódico de una señal analógica [15]. ............................................................ 12 Figura 1.3. Proceso de muestreo, cuantificación y codificación de una señal analógica [17]........... 13 Figura 2.1. Elementos de hardware que componen la tarjeta de adquisición y procesamiento. ....... 19 Figura 2.2. Esquema de protección. .................................................................................................. 24 Figura 2.3. Amplificador operacional OP07 en configuración seguidor de tensión. ........................ 25 Figura 2.4. Etapa restadora para medir tensiones de línea. ............................................................... 26 Figura 2.5. Constitución interna del amplificador de instrumentación AD620................................. 27 Figura 2.6. Configuración del AD620 para etapa de amplificación y nivel DC de las señales de tensión. .............................................................................................................................................. 28 Figura 2.7. Esquema filtro Butterworth de cuarto orden. .................................................................. 29 Figura 2.8. Diagrama de Bode. ......................................................................................................... 29 Figura 2.9. Circuito de acondicionamiento de tensión implementado. ............................................. 30 Figura 2.10. Configuración del AD620 para etapa de amplificación y nivel dc de las señales de corriente............................................................................................................................................. 32 Figura 2.11. Circuito de acondicionamiento de corriente implementado. ........................................ 32 Figura 2.12. Diagrama de bloques TMS320F28335 [30]. ................................................................ 33 Figura 2.13. Arquitectura de la CPU de TMS320F28335. ................................................................ 34 Figura 2.14. Esquemático del socket de 100 pines creado en Altium Designer. .............................. 37 Figura 2.15. Diseño de la PCB de la tarjeta de adquisición y procesamiento. .................................. 38 Figura 2.16. Tarjeta implementada ................................................................................................... 39 Figura 3.1.Bloques constitutivos del software implementado........................................................... 41 Figura 3.2. Lógica para las adquisición, procesamiento y comunicación de información ................ 42 Figura 3.3.Configuración del procesamiento para el del tipo de sistema bajo estudio. .................... 43 Figura 3.4.Datos iniciales enviados por la tarjeta de comunicaciones para inicio de procesamiento. ........................................................................................................................................................... 43 Figura 3.5.Diagrama de bloques de transferencia por medio de DMA. ............................................ 45 Figura 3.6. Diagrama para promedio de parámetros mediante agregación de 12 y 180 ciclos. ........ 52 Figura 3.7. Pantalla principal de la interfaz gráfica implementada. .................................................. 53 Figura 3.8. Pantalla de visualización de espectros de fase de los armónicos individuales. .............. 54 Figura 3.9. Pantalla de visualización de parámetros de estado estable. ............................................ 54 Figura 3.10. Flujograma para transmisión de datos por comunicación UART. ................................ 55 Figura 4.1. Divisor resistivo. a) Esquema para los canales de entrada de tensión. b) Tarjeta implementada. ................................................................................................................................... 58 Figura 4.2. Tensión de entrada vs tensión de salida para cada canal de tensión. .............................. 59 Figura 4.3. Curva de ganancia para resistencias de 1 kΩ, 20 kΩ y 1 MΩ. ....................................... 59 Figura 4.4. Resultados pruebas de linealidad sonda I400s. ............................................................... 60 Figura 4.5. Comportamiento en frecuencia sonda I400s. .................................................................. 60 Figura 4.6. Tensiones de línea de 208 V. a) Medida PQA 824. b) Medida por el ADC. .................. 61 Figura 4.7. Relación para tensiones antes y después de la etapa de amplificación. a) Canal A de tensión. b) Canal B de tensión. c) Canal C de tensión. ..................................................................... 62 Figura 4.8. Nivel DC de salida del circuito de amplificación y nivel offset de tensión de entrada. . 63

Page 8: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

VI

Figura 4.9. Relación para tensión antes y después de la etapa de amplificación. a) Canal A de corriente. b) Canal B de corriente. c) Canal C de corriente. d) Canal D de corriente. e) Nivel DC.. 64 Figura 4.10. Nivel DC de salida del circuito de amplificación y nivel offset de corriente de entrada. ........................................................................................................................................................... 65 Figura 4.11. Respuesta del filtro antialiasing del canal de tensión A. ............................................... 65 Figura 4.12. Respuesta del filtro antialiasing del canal de corriente A. ............................................ 66 Figura 4.13. Señales en la carga del rectificador de media onda. a) Señal de tensión adquirida por el CAD de la tarjeta. b) Señales de tensión y corriente adquirida por un osciloscopio......................... 67 Figura 4.14. Armónicos individuales de tensión en la carga. ............................................................ 68 Figura 4.15. Armónicos individuales de corriente en la carga. ......................................................... 68 Figura 4.16. Errores relativos y respecto a la norma en la estimación de parámetros de tensión para condiciones nominales y 10% del rango nominal. ............................................................................ 70 Figura 4.17. Errores relativos y respecto a la norma en la estimación de parámetros de corriente para condiciones nominales y 10% del rango nominal. ............................................................................ 70 Figura 4.18. Montaje realizado para conexión de carga en delta. ..................................................... 71 Figura 4.19. Errores promedio relativos al equipo de medida para los parámetros estimados en conexión delta. .................................................................................................................................. 72 Figura 4.20. Valor RMS de tensión para fase A. Tarjeta vs PQA. .................................................... 73 Figura 4.21. Valor RMS de corriente para fase A. Tarjeta vs PQA. ................................................. 73 Figura 4.22. Valor THD de tensión y corriente para fase A. Tarjeta vs PQA. .................................. 74 Figura 4.23. Valores P. Activa, Reactiva y Aparente para fase A. Tarjeta vs PQA. ......................... 74 Figura 4.24. Valores P. Activa, Reactiva y Aparente Trifásica. Tarjeta vs PQA. ............................. 75 Figura 4.25. Valores de F. de Potencia y Coseno Phi Trifásico. Tarjeta vs PQA. ............................ 75 Figura 4.26. Errores relativos promedio en el tiempo de medida para los parámetros estimados en conexión estrella. ............................................................................................................................... 76

Page 9: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

VII

INDICE DE TABLAS

Tabla 1.1. Categorías y características típicas de fenómenos electromagnéticos en sistemas de potencia [4]- ........................................................................................................................................ 5 Tabla 1.2. Límites permitidos de distorsión armónica de tensión [7]. ................................................ 7 Tabla 1.3. Límites permitidos de distorsión de armónica de corriente [7]. ......................................... 7 Tabla 1.4. Clases de precisión para medidas de corriente, tensión y potencia [10]. ........................... 9 Tabla 1.5. Comparación entre la cantidad de multiplicaciones complejas a realizar por parte de la DFT y el algoritmo FFT de base 2. ................................................................................................... 16 Tabla 2.1. Parámetros de diseño del divisor capacitivo. ................................................................... 20 Tabla 2.2. Características de alternativas para sensor de corriente. .................................................. 21 Tabla 2.3. Especificaciones de la sonda I400s. ................................................................................. 22 Tabla 2.4. Parámetros de diseño del circuito de amplificación y nivel DC. ..................................... 27 Tabla 2.5. Parámetros de diseño del circuito de amplificación y nivel DC. ..................................... 31 Tabla 2.6. Consumo de potencia de los dispositivos electrónicos. ................................................... 36 Tabla 2.7. Especificaciones de salida de la fuente DC TMP 31212C. .............................................. 36 Tabla 4.1. Resultados prueba de comunicaciones. ............................................................................ 77 Tabla 4.2. Costo de los elementos empleados en la tarjeta. .............................................................. 78 Tabla 4.3. Características de la tarjeta implementada. ...................................................................... 79

Page 10: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

VIII

RESUMEN

Los resultados de este proyecto de grado forman parte del proyecto de investigación "Desarrollo de un sistema de medición avanzado para registro de parámetros eléctricos de

estado estable y de calidad de energía en redes de tensión menor a 1 KV" financiado por el Fondo Nacional para la financiación de la ciencia, la tecnología y la innovación "Fondo Francisco José de Caldas" del Departamento Administrativo de Ciencia, Tecnología e innovación - COLCIENCIAS (Contrato: FP44842 - 321 2015), desarrollado por los grupos de investigación GCEM, LIFAE y GITUD, de la Facultad de Ingeniería de la Universidad Distrital Francisco José de Caldas.

Este trabajo aborda el diseño y construcción de una tarjeta de adquisición y procesamiento de señales, que permite conocer la tendencia de los parámetros de estado estable y distorsión armónica de una red monofásica o trifásica de baja tensión, en tiempo real y usando hardware de bajo costo.

Inicialmente se establecieron los rangos, requerimientos y requisitos, mediante los cuales estuvieron encaminadas las etapas de diseño e implementación para que la tarjeta cumpliera con las exigencias de un equipo clase S. Se seleccionan todos los elementos que constituyen el hardware, partiendo desde los elementos que captan las señales de tensión y corriente, se diseñan e implementan los circuitos de acondicionamiento que garantizan tanto la integridad de los dispositivos electrónicos, como la adecuada adquisición de las señales. Se optó por el Controlador Digital de Señales TMS320F28335 por su alta capacidad de cálculo y almacenamiento, como elemento encargado de digitalizar y procesar las señales analógicas acondicionadas. Se diseña la PCB bajo criterios de compatibilidad electromagnética, y se construye la tarjeta con los elementos seleccionados.

Paralelamente se implementan los algoritmos que permiten estimar parámetros de estado estable y distorsión armónica total de hasta 7 canales analógicos simultáneamente. Además, se elabora una interfaz gráfica que permite al usuario visualizar los resultados obtenidos periódicamente. De igual manera, se desarrolla el protocolo de comunicación por medio de SCI/UART, para el envío de los datos promediados a la tarjeta de comunicaciones del registrador para ser almacenados en una base datos.

Se validan los resultados con un equipo patrón, los cuales determinan que la tarjeta posee una exactitud adecuada en cada uno de los parámetros que calcula. Del mismo modo, la etapa de comunicaciones presenta un excelente comportamiento para cada una de las transferencias de datos realizadas.

Page 11: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

1

INTRODUCCIÓN

El rápido desarrollo de tecnologías de estado sólido enfocadas a mejorar la eficiencia en los dispositivos eléctricos, ha traído consigo efectos indeseados para los sistemas de distribución, reflejados en perturbaciones electromagnéticas que afectan los parámetros de calidad de potencia del servicio de energía eléctrica. Del mismo modo, estas cargas de naturaleza no lineal, son altamente susceptibles a pequeñas fluctuaciones en los parámetros de tensión, corriente y frecuencia, causando un mal funcionamiento en ellas y daños en los demás dispositivos conectados al sistema. Por esta razón, la reglamentación internacional, incluida Colombia, no solo está enfocada en evaluar la calidad del suministro de energía eléctrica en términos de disponibilidad y confiablidad, sino también en parámetros de calidad de potencia y rangos de aceptabilidad que ayuden a limitar la emisión de perturbaciones electromagnéticas, y garanticen unas condiciones de suministro que no afecten el funcionamiento de la red eléctrica y los equipos conectados a ella [1]. En este sentido, resulta indispensable registrar y monitorear los fenómenos de calidad de potencia que reflejen las condiciones físicas en un punto particular del sistema y suministren información necesaria para acciones de mitigación y mantenimiento, y ante eventos que violen los límites establecidos, servir como soporte en penalizaciones y litigios.

En la actualidad se cuenta con dispositivos de alta tecnología como analizadores de calidad de potencia y/o registradores de potencia que permiten la evaluación de la calidad de potencia suministrada por las empresas de servicios o estimar el efecto de la carga sobre las variables eléctricas [2]. Sin embargo, el alto costo tanto de mercado, como de funcionamiento limitan su uso, además este tipo de tecnologías con plataformas cerradas impiden la modificación y adaptación de las técnicas de medida al medio nacional y a las necesidades propias de cada usuario.

La reducción de costos, principalmente en hardware, hace viable que se estudien e implementen alternativas de instrumentación en centros de investigación local [3]. De allí que, dentro de las investigaciones desarrolladas por la Universidad Distrital Francisco José de Caldas, a través de los grupos de investigación GCEM, LIFAE y GITUD, enfocadas al estudio e implementación de nuevas tecnologías de generación, distribución y gestión de energía eléctrica, así como alternativas de movilidad, soluciones energéticas a la demanda creciente y un uso más eficiente de la energía, se propuso el proyecto denominado “Desarrollo de un sistema de medición avanzado para registro de parámetros eléctricos de

estado estable y de calidad de energía en redes de tensión menor a 1 kV”, el cual fue incluido en el banco de proyectos elegibles dentro de la convocatoria 669 de 2014 de Colciencias, y finalmente seleccionado para su ejecución.

Dicho proyecto plantea la necesidad de medir y registrar continuamente las variables eléctricas que permitan determinar el funcionamiento de una red y los fenómenos que la perturban, de una manera clara y oportuna, respondiendo a las exigencias dinámicas de gestión que podría demandar la inclusión en Colombia de nuevas tecnologías de consumo energético. El prototipo está compuesto de una serie de módulos inteligentes de bajo costo y fácil implementación, que se comunican mediante diferentes plataformas de comunicación

Page 12: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

2

y se encargan de detectar y registrar parámetros de estado estable y calidad de energía en redes de baja tensión (tensión menor a 1 KV) [1].

Uno de los módulos del prototipo es el encargado de la medición de parámetros eléctricos de estado estable y distorsión armónica, siendo su implementación objeto del presente proyecto de grado. La información de parámetros de estado estable es de gran importancia dentro del nuevo paradigma de redes inteligentes, ya que a partir de ella es posible tomar decisiones que permitan la gestión adecuada de la demanda en tiempo real, o puede permitir la predicción de la demanda para hacer la gestión necesaria a partir de estos y otros parámetros fundamentales [1]. Por otro lado, las componentes armónicas forman parte de los aspectos a tener en cuenta en el sector energético por tratarse de uno de los fenómenos que actualmente afecta en mayor proporción a las redes de distribución. Manifestados directamente en la deformación de la onda de tensión y corriente, los armónicos originan un aumento en los índices de contaminación de la red y son causantes de interferencias, sobrecalentamientos y demás efectos que generan un mal funcionamiento en los equipos eléctricos, electrónicos, sistemas de control, protección y comunicaciones. Además, ante la eventual conexión a la red eléctrica del país de fuentes de generación distribuida, vehículos eléctricos y demás elementos de característica no lineal, el aporte de armónicos puede llegar a ser aún más significativo.

Ante la necesidad de implementar dispositivos de medición que cumplan simultáneamente los requerimientos de bajo costo y precisión que permitan llevar un control mucho más riguroso de cargas contaminantes, el avanzado desarrollo de la microelectrónica y en especial en el campo de procesamiento digital de señales ha permitido abordar la implementación de instrumentación brindando rapidez, exactitud, flexibilidad y adaptabilidad, a bajo costo. Dispositivos electrónicos que almacenan y procesan todo tipo de señales a muy alta velocidad como procesadores digitales de señales DSP’s y controladores digitales de señales DSC's, se han convertido en parte integral de los sistemas de adquisición de señales, especialmente en aplicaciones donde se desea conocer la evolución de la señal en tiempo real, haciendo factible la posibilidad de implementar todo el tratamiento de información que conlleva el estudio de armónicos sin incurrir en altos costos, en comparación con los dispositivos convencionales empleados en el monitoreo de la calidad de potencia.

A partir de lo anterior, el objetivo del presente proyecto de grado es diseñar e implementar una tarjeta adquisición y procesamiento de señales, que permita conocer la tendencia de los parámetros de estado estable y distorsión armónica de una red monofásica o trifásica de baja tensión, en tiempo real y usando hardware de bajo costo. Para ello, se desarrollan una serie de etapas expuestas a lo largo de los cinco capítulos que componen el documento. El primer capítulo abarca las bases teóricas y los lineamientos regulatorios para la realización de este proyecto. Se estudian las diferentes recomendaciones establecidas en estándares nacionales e internacionales que regulan los parámetros de la calidad de potencia eléctrica y los equipos destinados a su monitorización. El capítulo 2 presenta el diseño e implementación de los bloques constitutivos de hardware de la tarjeta. El capítulo 3 expone los algoritmos desarrollados para estimar las variables de interés, así como también, la configuración de los recursos utilizados del Controlador Digital de Señales

Page 13: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

3

F28335 en el módulo de procesamiento. Se presenta además el diseño de la interfaz gráfica que permite realizar cambios periódicos de software que se adapten a cambios establecidos por la normativa sin necesidad de remplazar el equipo, además del protocolo de comunicación empleado para el envío de datos a la tarjeta de comunicaciones. El capítulo 4 presenta las pruebas y resultados experimentales del proceso de validación que determinan las características de la tarjeta implementada. Por último, el capítulo 5 presenta las conclusiones del proyecto realizado y se proponen las posibles líneas de trabajo en miras a su mejoramiento y perfeccionamiento.

OBJETIVO GENERAL

Implementar una tarjeta de adquisición y procesamiento de señales que permita medir distorsión armónica y parámetros de estado estable en redes de tensión menor a 1 KV.

OBJETIVOS ESPECIFICOS

• Establecer los rangos de distorsión armónica y los parámetros de estado estable para redes de tensión menor a 1 KV que serán monitoreados, acorde a las normativas dirigidas a equipos de medida de calidad de potencia eléctrica.

• Diseñar e Implementar los bloques funcionales de adquisición y procesamiento de señales de tensión y corriente que componen la tarjeta, que permita la detección y monitoreo en redes trifásicas y monofásicas.

• Diseñar el software de medición que permita determinar el contenido armónico y los parámetros de estado estable en redes de tensión menor a 1 KV, así como también visualizar en una interfaz gráfica y transmitir los resultados para su registro en una base de datos.

• Validar el funcionamiento del sistema de medición mediante pruebas de laboratorio, frente a un instrumento de referencia analizador de calidad de energía convencional.

Page 14: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y
Page 15: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

5

1. MARCO TEORICO

1.1. MONITOREO DE CALIDAD DE POTENCIA Y ARMONICOS EN REDES DE DISTRIBUCION

Los avances de la tecnología de estado sólido han conllevado a la proliferación de dispositivos electrónicos de control, automatización y en general, cargas no lineales que afectan las características de las señales de tensión y corriente de sus valores de referencia. A su vez, este tipo de cargas son altamente susceptibles a pequeñas fluctuaciones de tensión y demás perturbaciones que ocasionan fallas e interrupciones en su operación, afectando a los usuarios finales. Esto demanda que la señal de tensión que proveen las empresas suministradoras de energía eléctrica deba cumplir con parámetros de calidad que garanticen un funcionamiento adecuado tanto de los equipos electrónicos conectados alrededor, como de la misma red eléctrica. Por su parte, la señal de corriente, altamente distorsionada por la naturaleza no lineal de la mayoría de cargas conectadas al sistema, produce de igual forma efectos nocivos que hacen del cumplimiento de los parámetros de calidad de potencia eléctrica una necesidad imperativa [2].

Los problemas que afectan la calidad de potencia eléctrica, incluyen una gran variedad de disturbios o perturbaciones electromagnéticas que pueden ser clasificados de acuerdo a la norma IEEE Estándar 1159 de 1995 como se muestra en la Tabla 1.1 [4].

Tabla 1.1. Categorías y características típicas de fenómenos electromagnéticos en sistemas de potencia [4]-

Categoría Contenido

Espectral típico Duración típica

Magnitud de Voltaje típico

1. Transitorio 1.1. Impulso 1.1.1. [ns] 5 ns <50 ns 1.1.2. [µs] 1 µs 50 ns -1 ms 1.1.3. [ms] 0,1 ms >1 ms 1.2. Oscilatorio 1.2.1. Baja frec. <5 kHz 0,3-50 ms 0-4 p.u. 1.2.2. Media frec. 5-500 kHz 20 µs 0-8 p.u. 1.2.3. Alta frec. 0,5 -5 kHz 5 µs 0-4 p.u. 2. Variación corta dur. 2.1. Instantánea 2.1.1. Huecos 0,5-30 ciclos 0,1-0,9 p.u. 2.1.2. Jorobas 0,5-30 ciclos 1,1-1,8 p.u. 2.2. Momentáneo 2.2.1. Interrupción 0,5 ciclos- 3 s <0,1 p.u. 2.2.2. Hueco 30 ciclos - 3 s 0,1-0,9 p.u. 2.2.3. Joroba 30 ciclos - 3 s 1,1-1,4 p.u. 2.3. Temporal 2.3.1. Interrupción 3 s- 1 min <0,1 p.u. 2.3.2. Hueco 3 s -1 min 0,1- 0,9 p.u. 2.3.3. Joroba 3 s -1 min 1,1 -1,2 p.u.

Page 16: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

6

3. Variación Larga dur 3.1. Int. Permanente >1 min 0 p.u. 3.2. Subvoltaje >1 min 0,8-0,9 p.u. 3.3. Sobrevoltaje >1 min 1,1 -1,2 p.u. 4. Desbalance Volt. Estado estable 0,5 -2 % 5. Distorsión forma 5.1. Voltaje OFFSET Estado estable 0-0,1 % 5.2. Armónicos 0-100avo H Estado estable 0-20 % 5.3. Interarmonicos 0-6 kHz Estado estable 0-2 % 5.4. Notching Estado estable 5.5. Ruido Ancho de banda Estado estable 0-1 % 6. Fluctuaciones Tens <25 Hz Intermitente 0,1- 7 % 7. Variación frec. Ind. < 10 s

Se puede entonces definir la calidad de potencia eléctrica, como “el campo que se dedica

a estudiar cualquier problema de potencia que se manifieste en una desviación de la

tensión, corriente o frecuencia de sus valores ideales, y ocasionen falla e interrupción de

los sistemas eléctricos o mala operación del equipo de un usuario” [5].

Entre las perturbaciones eléctricas que tienen una mayor repercusión en las redes de distribución se encuentra el fenómeno de armónicos, definidos como señales de tensión o corriente con componentes de frecuencia múltiplo entero de la frecuencia fundamental del sistema que se superponen a la señal de tensión de suministro. Los armónicos generan desviaciones en la forma de onda y alteran el funcionamiento normal de la red eléctrica y los equipos conectados a ella [4]. Existen diversas fuentes de armónicos que distorsionan la forma de onda sinusoidal de la señal de entrada, producidos en su mayoría por el acondicionamiento y conversión de la potencia para la alimentación de cargas no lineales, constituidas generalmente por elementos semiconductores, equipos con materiales ferromagnéticos como maquinas eléctricas y transformadores, dispositivos de arco, descargas eléctricas y circuitos que requieren conmutaciones en su funcionamiento.

Como parte de la mitigación de los efectos nocivos, el monitoreo constante de los sistemas para detectar la presencia de los armónicos indeseables, desempeña un papel esencial en la cuantificación de los niveles de contaminación armónica y en la aplicación de acciones correctivas como el diseño e implementación de filtros. Su medición provee información valiosa acerca del cumplimiento de los consumidores y empresas suministradoras con los estándares regulatorios.

1.1.1. Normativas

La función de la normalización destinada a la monitorización de la calidad de potencia eléctrica (CPE) es proporcionar las recomendaciones, limites estandarizados y directrices generales que garanticen una completa compatibilidad entre el equipo de medida y sistema eléctrico, además, servir como punto de referencia para que estudios relacionados con la (CPE) sean analizados desde la misma perspectiva, con resultados confiables y comparables independientemente del equipo de medida empleado.

Page 17: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

7

1.1.1.1. IEEE 1159-2009

IEEE Recommended Practice for Monitoring Electric Power Quality [4]. Detalla y expone los diferentes fenómenos electromagnéticos que tienen lugar en las redes eléctricas. Esta norma fue diseñada para establecer una guía para la medición de los parámetros que definen la calidad de potencia eléctrica de una red eléctrica en el punto de conexión común, expone técnicas de medición, estandarización de los algoritmos básicos, evaluación de las diferentes perturbaciones, interpretación de los resultados obtenidos y datos aplicados por los fabricantes para la instrumentación destinada a la medición de parámetros de (CPE).

1.1.1.2. IEEE 519-1992

IEEE Recommended Practices and Requirements For Harmonic Control In Electric

Power Systems [6]. Es una guía dirigida a empresas distribuidoras y a sus clientes para disminuir los inconvenientes causados por los armónicos, advierte que las instalaciones de transmisión y distribución deberán limitar la contribución de armónicos a la red, operando sus sistemas de modo que la distorsión armónica de tensión no supere los límites establecidos en la Tabla 1.2 para diferentes niveles de tensión. Del mismo modo, establece los límites de contribución que el consumidor puede suministrar a las red, representados en la Tabla 1.3. La norma menciona que el punto de revisión debe ser el Punto de Conexión Común (PCC), es decir, el sitio donde converge la empresa suministradora y el usuario, éste puede ser el punto donde se encuentra el totalizador de la instalación eléctrica.

Tabla 1.2. Límites permitidos de distorsión armónica de tensión [7].

Tensión en la frontera

Distorsión individual de tensión [%]

Distorsión armónica de Tensión THD [%]

69 kV o menos 3,0 5,0

69 a 161 kV 1,5 2,5

Más de 161 kV 1,0 1,5

Tabla 1.3. Límites permitidos de distorsión de armónica de corriente [7].

Límites de distorsión en corriente para sistemas de distribución 120 V < Vn ≤ 69 Kv

Relación Isc/IL <11 11≤h<17 17≤h<23 23≤h<35 h≥35 TDD

<20 4,0 % 2,0 % 1,5 % 0,6 % 0,3 % 5,0 % 20-50 7,0 % 3,5 % 2,5 % 1,0 % 0,5 % 8,0 % 50-100 10,0 % 4,5 % 4,0 % 1,5 % 0,7 % 12,0 %

100-1000 12,0 % 5,5 % 5,0 % 2,0 % 1,0 % 15,0 %

>1000 15.0% 7.0% 6.0% 2.5% 1.4% 20.0%

Límites de distorsión en corriente para sistemas de subtransmisión 69kV < Vn ≤ 161 Kv

<20 2.0% 1.0% 0.75% 0.3% 0.15% 2.5% 20-50 3.5% 1.75% 1.25% 0.5% 0.25% 4.0% 50-100 5.0% 2.25% 2.0% 0.75% 0.35% 6.0%

Page 18: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

8

100-1000 6.0% 2.75% 2.5% 1.0% 0.5% 7.5% >1000 7.5% 3.5% 3.0% 1.25% 0.7% 10.0%

Límites de distorsión en corriente para sistemas de transmisión (Vn > 161 kV), generación distribuida y cogeneración

< 25 1.0% 0.5% 0.38% 0.15% 0.1% 1.5% 25 < 50 2.0% 1.0% 0.75% 0.3% 0.15% 2.5% ≤ 50 3.0% 1.5% 1.15% 0.45% 0.22% 3.75%

El estándar IEEE 519 recomienda a la hora de realizar una medición, tener en cuenta los siguientes índices armónicos con el fin de tener datos concluyentes y puedan ser analizados bajos los parámetros y límites sugeridos:

• Distorsión de tensión total e individual (IHT). • Distorsión de corriente total e individual (THD).

Para un estudio en el que se necesita conocer el contenido armónico de la instalación de un cliente, puede hacerse directamente en el devanado primario del transformador, si las condiciones técnicas y de disponibilidad de los equipos lo permiten. Ahora bien, si lo que se quiere es hacer un estudio dentro de las instalaciones del cliente, lo más recomendable es hacer las mediciones en los nodos internos de la planta, las cargas no lineales y bancos de condensadores directamente [8].

1.1.1.3. NTC-IEC 61000-4-30:2009

Técnicas de ensayo y de medida. Métodos de medida de la calidad de potencia [9]. Provee métodos de medida, describe fórmulas de medición de los parámetros de calidad de potencia, establece además, niveles de precisión para equipos de medida, periodos de agregación de los resultados y el modo de interpretarlos. La principal motivación de este estándar, es reunir los requerimientos comunes de los aparatos de medida para asegurar que se produzcan resultados confiables y reproducibles independientemente del fabricante.

Los métodos de medida expuestos para cada parámetro están definidos en clases A, S y B, la diferencia entre ellos radica en la precisión de los resultados obtenidos. El método de medida clase A es aplicado cuando se requieren resultados precisos, por ejemplo, para verificar el cumplimiento de la norma, el método clase S es usado para estudios estadísticos que no requieren gran exactitud de medida, o para hacer el seguimiento de una instalación, la clase B se define con el objeto de evitar que queden obsoletos muchos diseños de instrumentos ya existentes.

Respecto a los tiempos de observación para el análisis de armónicos, el intervalo de tiempo básico debe ser de 10 ciclos para una red de 50 Hz, o un intervalo de 12 ciclos para una red de 60 Hz, el registro de información se debe efectuar en intervalos que se agregan dependiendo del estudio que se desee realizar, en:

• Agregación de 150/180 ciclos: Para instrumentos clase A, los intervalos de tiempo de 150/180 ciclos deben agregarse sin separación a partir de 15 intervalos de tiempo de 10/12 ciclos y se deben re-sincronizar en impulsos de 10 minutos. Para el método clase S, se permite la re-sincronización pero no se requiere, se permiten además,

Page 19: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

9

separaciones con un mínimo de tres valores de 10/12 ciclos en cada intervalo de tiempo de 150/180 ciclos y utilizar al menos un valor de 10/12 ciclos cada segundo.

• Agregación de 10 minutos: Para equipos de medida clase A, debe identificarse con el tiempo absoluto que corresponde al tiempo de finalización de la agregación de 10 minutos, y agregarse sin separación a partir de los intervalos de tiempo de 10/12 ciclos. Para el método de clase S, no hay re-sincronización en el impulso de 10 minutos, por lo que los intervalos de 10 minutos se desarrollan independientemente.

• Agregación de dos horas: Tanto para equipos de medida de clase A y clase S, los datos para el intervalo de 2 horas deben agregarse a partir de 12 intervalos de 10 minutos, sin separaciones y sin solapes.

1.1.1.4. NTC-IEC 61000-4-7:2013

Técnicas de ensayo y de medida. Guía general relativa a las medidas de armónicos e

interarmónicos, así como a los aparatos de medida, aplicable a las redes de suministro y

los aparatos conectados a éstas [10]. Se aplica a los equipos de medida destinados a la medición de componentes de frecuencia de hasta 9 kHz que se superponen a la componente fundamental de la señal de tensión o de corriente de 50 Hz y de 60 Hz. Dicho análisis será realizado hasta el 50vo armónico de la frecuencia fundamental para equipos de clase A y hasta el 40vo para equipos de clase S. Con respecto a la exactitud de aparato de medida, la norma establece una clasificación en dos clases (I y II), de acuerdo al máximo error permitido en la medición como se muestra en la Tabla 1.4.

Tabla 1.4. Clases de precisión para medidas de corriente, tensión y potencia [10].

Clase Medida Condiciones Error máximo

I

Tensión Um ≥ 1 % Unom ± 5 % Um Um < 1 % Unom ± 0,05% Unom

Corriente Im ≥ 3 % Inom ± 5 % Im Im < 3 % Inom ± 0,15% Inom

Potencia Pm ≥ 150 W ± 1 % Pnom Pm < 150 W ± 1,5 W

II Tensión

Um ≥ 3 % Unom ± 5 % Um Um < 3 % Unom ± 0,15% Unom

Corriente Im ≥ 10 % Inom ± 5 % Im Im < 10 % Inom ± 0,5% Inom

Inom: Margen de corriente nominal del instrumento de medida. Unom: Margen de tensión nominal del instrumento de medida. Um e Im: Valores medidos.

El estándar NTC-IEC 61000-4-7 no es riguroso en cuanto a consideraciones de diseño, sin embargo, menciona aspectos básicos que debe cumplir el instrumento de medida como son [10]:

• Circuitos de entrada con filtro anti-aliasing

Page 20: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

10

• Conversores A/D con muestreo y retención de señales (sample and hold). • Sincronización principal (Circuitos PLL) y una ventana de ponderación Hanning, si

fuera necesario • Procesador para el cálculo de la DFT.

El estándar establece que el equipo de medida debe contar con un sistema de sincronización principal (PLL) para los deslizamientos de frecuencia que tienen lugar en los sistemas de potencia, los cuales no permiten que la ventana de medición contenga un número exacto de periodos de la señal y dan lugar a imprecisiones. En el caso de no poder emplearse algún mecanismo de sincronización de la frecuencia de muestreo con la frecuencia de la señal, la norma menciona que se puede mejorar la estimación mediante la utilización de la ventana de ponderación Hanning.

Las frecuencias situadas fuera del rango de medida del instrumento deben atenuarse para que no afecte los resultados por el denominado efecto aliasing, mediante un filtro pasa bajo anti-aliasing con una frecuencia de corte de -3 dB por encima del rango de medida y una atenuación en la banda de corte superior a 50 dB.

Las recomendaciones dadas por la IEC 61000-4-30 e IEC 61000-4-7 son exigentes en cuanto a los recursos de procesamiento y memoria que deben poseer los equipos de medida, por lo tanto, para instrumentos de coste reducido, se puede considerar una ventana temporal más corta que dure eventualmente solo un periodo. Sin embargo, la incertidumbre de los instrumentos que se basan en otro principio de análisis debe respetar los requisitos de incertidumbre de la Tabla 1.4.

El circuito de entrada debe adaptarse para las corrientes a analizar y proporcionar una medida directa de los armónicos de corriente, además de tener una entrada en baja tensión y una alta impedancia. Puede ser aconsejable pero no se requiere márgenes de medida de corriente de entrada eficaz nominal de 0,1 A, 0,2 A, 0,5 A, 1 A, 2 A, 5 A, 10 A, 20 A, 50 A, 100 A. Para medidas de armónicos de tensión, existen varias tensiones de suministro nominales entre 60 V y 690 V dependiendo de la práctica local.

1.1.1.5. IEEE 1459-2000

IEEE Standard Definitions for the Measurement of Electric Power Quantities Under

Sinusoidal, Nonsinusoidal, Balanced, or Unbalanced Conditions [11]: Surge a partir de los desafíos que presenta la medición de potencia en redes eléctricas en presencia de armónicos de tensión y corriente, por lo tanto, se adoptan definiciones para la cuantificación de potencia y energía en sistemas eléctricos en régimen sinusoidal, no sinusoidal, balanceado y no balanceado.

1.2. PROCESAMIENTO DIGITAL DE SEÑALES

El procesamiento digital de señales es la disciplina dentro del área de las matemáticas que involucra la representación digital, transmisión y manipulación de señales con el

Page 21: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

11

propósito de analizar, modificar o extraer información proveniente de ellas, para un determinado fin o aplicación de la ingeniería [12]. La evolución de la tecnología DSP ha sido sostenida por el desarrollo de herramientas o plataformas de hardware más elaboradas con la capacidad y velocidad para procesar algoritmos con un alto número de operaciones matemáticas especializadas en tiempo real. De allí que, muchas de las tareas del procesamiento de señales que normalmente eran demasiado complejas y/o costosas con sistemas analógicos, se realicen hoy mediante hardware digital a un menor costo, tamaño y a menudo más confiable [13]. Además, al ser sistemas programables a través de cambios en el software, permiten ir modificando y adaptando sus características ofreciendo un alto grado de flexibilidad.

1.2.1. Elementos de un sistema DSP

Las señales a ser tratadas son usualmente magnitudes físicas del mundo exterior que contienen información que se desea extraer o modificar de acuerdo al tipo de aplicación. Estas señales analógicas toman valores en un rango continúo de tiempo y magnitud, y hacen imposible que su procesamiento pueda ser realizado por un hardware computacional, por lo que son convertidas a un formato digital, por un elemento que recoge periódicamente muestras de la señal en determinados instantes de tiempo en la etapa de conversión analógica-digital. Esta señal muestreada puede ser tratada por un procesador de propósito general, sistemas empotrados basados en microcontroladores o dispositivos diseñados específicamente para ejecutar algoritmos con una carga computacional alta como procesadores DSP’s. Dependiendo de la finalidad del sistema, la salida puede ser nuevamente convertida a una señal analógica mediante un conversor digital analógico, o almacenada y/o trasferida a través de puertos de comunicación. La Figura 1.1 presenta los elementos típicos que componen un sistema DSP.

Figura 1.1. Bloques típicos de un sistema DSP.

El esquema de la Figura 1.1 puede estar compuesto además, por un módulo de adquisición y acondicionamiento de la señal de entrada analógica, que la adecuada a los requerimientos de entrada del conversor analógico digital ADC.

Page 22: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

12

1.2.1.1. Conversión analógica-digital

Las señales que se manejan en aplicaciones DSP son por lo general señales analógicas del mundo real, por otro lado, los computadores y procesadores digitales manejan la información discontinuamente como una serie de números binarios. La interfaz que efectúa dicha transformación se le conoce con el nombre de conversión análoga digital y es realizada por un conversor análogo digital ADC [13]. El proceso de conversión de una señal analógica a una representación digital está compuesto por las etapas de muestreo, cuantificación y codificación

El muestreo es el proceso mediante el cual se transforma una señal de espectro limitado y continuo en el tiempo, en una serie de valores discretos igualmente espaciados por el periodo de muestreo. Esta acción es realizada comúnmente por un circuito de muestreo y retención, que toma el valor instantáneo de la señal continua en un instante determinado, y retiene su valor el tiempo suficiente para ser convertido en un valor digital. El muestreo se puede entender como un switch que abre y cierra a una frecuencia = 1⁄ como se presenta en la Figura 1.2 [14].

Figura 1.2. Muestreo periódico de una señal analógica [15].

La frecuencia de muestreo debe ser cuidadosamente seleccionada, ya que si se escoge una tasa de muestreo muy baja podría perderse una cantidad considerable de información de la señal original, o si por el contrario se elige una tasa de muestreo muy alta, se obtiene una versión más aproximada de la señal original a expensas de aumentar los requerimientos de la etapa de procesamiento al tener menos tiempo para procesar cada muestra. Es por ello que, en la mayoría de aplicaciones en donde la señal es muestreada, un primer criterio de selección es el de Nyquist, el cual determina que la señal debe ser muestreada a una tasa mínima del doble de la máxima frecuencia a analizar, de lo contrario se presentará el fenómeno denominado aliasing o solapamiento [14].

Cuando la señal de entrada no es de banda limitada, o la frecuencia de Nyquist es demasiado alta, puede ser necesario la implementación de un filtro anti-solapamiento antes que la señal ingrese a un (ADC), que limite las componentes de frecuencia de la señal de entrada a la banda de interés. Otras veces, aunque la señal sea ya de banda limitada, puede estar contaminada por ruido auditivo que puede ocupar la banda de frecuencias

Page 23: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

13

altas, como resultado del proceso de muestreo, las componentes solapadas de ese ruido se distribuyen por las componentes de baja frecuencia.

La cuantificación es la conversión de la variable discreta y magnitud continúa, a otra señal de variable discreta pero con valores discretos. El valor de cada muestra es aproximado entonces, a un conjunto finito de 2 distintos niveles en el que se divide la señal a convertir, siendo la longitud de palabra del conversor. Esta aproximación implica el denominado error o ruido de cuantificación que ocurre cuando un valor de ( ) se sitúa en medio de dos posibles niveles, por lo que debe ser redondeado al valor del nivel de cuantificación más cercano, o truncado al valor del nivel de cuantificación que se encuentra por debajo [16], por lo que cuantos más niveles de cuantificación se tengan, se podrá representar más exactamente la señal analógica.

La codificación es la asignación de una representación binaria de un determinado tamaño de bits a cada nivel de cuantificación. La Figura 1.3 representa las etapas básicas en el proceso de conversión analógico/digital.

Figura 1.3. Proceso de muestreo, cuantificación y codificación de una señal analógica [17].

1.2.1.2. DSP’S

Una aplicación DSP se desarrolla con el objetivo de detectar y actuar ante un acontecimiento externo, en medio de estás dos acciones se ubica un microcontrolador o un procesador digital de señales. Los eventos son detectados por alguno de los periféricos como pines I/O, pines dedicados a interrupciones, entradas analógicas y/o digitales, que extraen una parte del estado de la señal del sistema que se está controlando, y dependiendo de la aplicación y tratamiento realizado, los actuadores envían las señales de salida a relays, motores, LDC’s u otros dispositivos que controlan acontecimientos [13].

Algunos algoritmos empleados en procesamiento digital, requieren una gran cantidad de operaciones matemáticas especializadas que impiden que el procesamiento pueda ser llevado a cabo inmediatamente ocurren los eventos. Para este tipo de aplicaciones en donde la carga computacional es un factor crítico, resulta idóneo el uso de procesadores especializados en el manejo eficiente de la señal digitalizada como procesadores digitales de señales DSP’s, los cuales poseen una elevada capacidad de procesamiento aritmético de datos en tiempo real con elevada precisión. Para cumplir con esta tarea, la arquitectura de un procesador digital de señales está compuesta por etapas multiplicadora/acumuladora

Page 24: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

14

(MAC) apta para resolver ecuaciones del tipo = + ( ), circuitos BS (Barrel shifter) para desplazar un dato varios bits a derecha/izquierda y unidad lógica aritmética ALU. Estos módulos permiten la ejecución de instrucciones altamente repetitivas en pocos ciclos de reloj y un menor consumo de memoria sin retardos adicionales o significativos, en comparación con un procesador de propósito general [13].

Una importante característica de los procesadores digitales de señales, es la manera en la que se conectan al bus de memoria, su arquitectura Harvard permite un acceso a memoria de programa y memoria de operandos en un solo ciclo de reloj, al poseer espacios y buses independientes. Generalmente los DSP manejan un bloque considerable de datos, sin embargo, en algunos casos el cálculo de direcciones toma más tiempo que el cálculo de las operaciones matemáticas en sí, es por ello que las unidades generadoras de dirección realizan los cálculos de direcciones que permite al DSP buscar dos datos simultáneamente para operar con ellos en pocos ciclos de reloj. Por su parte, la arquitectura Vonn Neumann, utilizada en microcontralores y en la mayoría de procesadores de propósito general, utilizan un único bus para datos y programa, lo que requiere de más ciclos de máquina para acceder a los espacios de memoria, además, si un programa sobrepasa los límites de tamaño en el espacio de memoria de datos o programa, dichos espacios se pueden sobrescribir y corromper el sistema.

Actualmente, aplicaciones que requieren implementar control en tiempo real bajo condiciones más exigentes en cuanto a esfuerzo computacional se refiere, han llevado al desarrollo de tecnologías como controladores digitales de señales o DSC, que mezclan las características más importantes de un microcontrolador como el manejo de periféricos y la capacidad de cálculo provista por un núcleo DSP [18].

Existen pocas empresas globales de manufactura de DSP’s y DSC’s en el mercado, siendo Texas instruments el amplio líder en esta área por encima de Analog devices y Motorola. Cada vendedor ofrece procesadores de coma fija y coma flotante con sus propias herramientas de desarrollo, compiladores, ensambladores, linkers, debbugers y sistemas de desarrollo de software en lenguaje de ato nivel como C. El catálogo de Texas Instruments está clasificado por familias, donde cada una de ellas resulta idónea dependiendo el tipo de aplicación[18]:

• Familia C2000: Se ubican los microcontroladores y DSC’s utilizados en control digital de motores, energías renovables, potencia digital e instrumentación de precisión.

• Familia C5000: Orientados a la industria de bajo consumo, productos portátiles, comunicaciones móviles, reproductores de música, etc.

• Familia C6000: Los más potentes y con más alto rendimiento, utilizados en procesamiento de imágenes, decodificación de voz, video y comunicaciones inalámbricas.

Page 25: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

15

1.3. TRANSFORMADA RAPIDA DE FOURIER

El análisis de Fourier ha sido una herramienta altamente utilizada en procesamiento digital de señales para el filtrado de señales contaminadas por ruido e interferencia, desenmascarado de tramas de datos de comunicación digital, en sistemas eléctricos permite estimar diversas magnitudes eléctricas que ayudan a determinar sus condiciones de operación, y en general, aplicaciones que requieran estimar el contenido espectral de señales muestreadas [19]. Por tratarse de algoritmos desarrollados para un procesador digital con recursos limitados de memoria y capacidad de cómputo, se debe pensar en el carácter discreto de la información que se maneja, de allí que, algoritmos como la Transformada Discreta de Fourier (DFT), y su versión eficiente como la Trasformada rápida de Fourier (FFT), desarrollados a partir de las Series de Fourier, junto a los avances de procesadores especializados para ejecutarlos, han permitido el desarrollo de aplicaciones de procesamiento y control en tiempo real.

La teoría de Fourier establece que cualquier función periódica puede representarse como una sumatoria infinita de senos y cosenos simples relacionados armónicamente entre sí. Por lo tanto, esta herramienta permite el traslado constante del dominio de la frecuencia al dominio del tiempo o viceversa por medio de la serie de Fourier para señales periódicas. Un análisis más general se extiende para señales periódicas y aperiódicas utilizando la Transformada de Fourier. Cuando se requiere emplear una computadora, el análisis en el dominio continuo de Fourier se adapta a la naturaleza de la información que manejan los sistemas digitales dando lugar a la Transformada Discreta de Fourier, ésta es definida como [20]:

= ( ) ∗ para = 0,1,2, … . . − 1(1.1) Donde es el numero de muestras de la ventana que va a analizar, es periodo de

muestreo, es el bin de frecuencia cuyo contenido se quiere obtener, es decir, representa cada una de las frecuencias de salida en las que se descompone la señal discreta de partida, por lo que para realizar el estudio completo, varia desde 0 hasta , donde / es un proporción de la frecuencia de muestreo y ( ) indica la muestra tomada en el instante de la ventana de medición. Es importante resaltar que de acuerdo al criterio de Nyquist, el ancho de banda de la señal coincide con la mitad de la frecuencia de muestreo, por lo que los valores que se obtienen para 0 < < /2 son los mismos que se obtienen para /2 < < − 1, reduciendo a la mitad el procesamiento requerido.

Desarrollando (1.1) para los posibles valores de , se obtiene una matriz de tamaño , donde el número de sumas complejas que se deben realizar es ( - 1) , y la cantidad

de multiplicaciones complejas asciende a . El coste computacional requerido para implementar la Transformada Discreta de Fourier en un procesador digital, con recursos limitados de memoria y capacidad de cómputo, es muy alto. Por esta razón, es común que se empleen alternativas más eficientes como la Trasformada Rápida de Fourier (FFT) que

Page 26: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

16

se basa en la optimización de los cómputos realizados, evitando la repetición de los cálculos que se emplean en las distintas etapas de la DFT [21].

Mediante el diezmado de la secuencia de datos de entrada, el número total de multiplicaciones complejas se reduce a ( /2) 2 , mientras que el número de sumas complejas a 2 , reduciendo considerablemente el tiempo de cálculo que conlleva el cálculo directo de la DFT. En la Tabla 1.5 se muestra la comparación entre el número de multiplicaciones complejas usando la FFT y el cálculo directo de la DFT.

Tabla 1.5. Comparación entre la cantidad de multiplicaciones complejas a realizar por parte de la DFT y el algoritmo FFT de base 2.

Número de puntos, N

Multiplicaciones complejas en calculo

directo,

Multiplicaciones complejas en el algoritmo FFT,

(N/2)log2 N

Factor de mejora de la

velocidad 4 16 4 4.0 8 64 12 5.3

16 256 32 8.0 32 1024 80 12.8 64 4096 192 21.3 128 16384 448 36.6 256 65536 1024 64 512 262144 2304 113.8 1024 1048576 5120 204.8

El cálculo que se realiza en cada etapa, consiste en aplicar las operaciones de una transformada DFT de dos puntos o “mariposa”. En general, cada mariposa implica una multiplicación y dos sumas complejas, por lo que para puntos se tienen N/2 mariposas por cada etapa del proceso y 2 etapas. En términos de recursos de memoria, este tipo de cómputo permite guardar el resultado de cada operación de la mariposa ( , ), en las mismas posiciones de sus operandos ( , ), de allí que, se necesite una cantidad fija de memoria, en concreto 2 registros de almacenamiento para guardar los resultados de

números complejos [22].

Con respecto a la tarea de diezmado, ésta se puede entender como un reacomodamiento de la secuencia de datos antes de computar el algoritmo de la FFT, mientras sus salidas son calculadas en orden normal. Para que un hardware digital realice el acomodamiento previo de las muestras de la señal de entrada, las posiciones de la secuencia de datos [ ], o sea , cambian de tal manera que si se asume la generación de dicho número a través de un número binario, son programadas para que sean almacenadas en memoria en orden de bit invertido.

1.4. AVANCES CAPITULO 1

La evaluación de los niveles de distorsión armónica individual y total de tensión y corriente, están determinados por el método de medida y clase de precisión que poseen los equipos destinados a la monitorización de calidad de potencia eléctrica. El método de

Page 27: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

17

medida A y clase precisión I, establece que el análisis debe ser realizado hasta el 50vo armónico de la frecuencia fundamental, mientras que para los equipos con método de medida S y clase de precisión II, el análisis debe ser realizado mínimo hasta el 40vo

armónico. Por su parte, los parámetros de estado estable pueden ser determinados a partir de los resultados del análisis armónico, con el fin de tener en cuenta el aporte de las componentes con frecuencias múltiplo de la fundamental. Para propósitos de registro, los parámetros estimados deben ser promediados en tiempos de agregación, dependiendo del tiempo de observación del sistema bajo estudio. Dicho esto, debido a las altas exigencias que demanda la implementación de un instrumento de bajo costo que cumpla con los requisitos de un equipo clase A, se decidió que las etapas de desarrollo del proyecto fueran encaminadas a implementar un dispositivo que cumpliera con los requerimientos de un equipo clase S.

El método por excelencia de estimación del contenido espectral de las señales en sistemas digitales, es el empleo de la Transformada Discreta de Fourier (DFT) o su versión eficiente Transformada Rápida de Fourier (FFT). El esfuerzo computacional que exige la ejecución de este tipo de algoritmos es llevado a cabo eficientemente por procesadores con funciones especializadas para dicho fin, como Procesadores Digitales de Señales, y de un menor costo como Controladores Digitales de señales.

El principal avance alcanzado con el desarrollo de este capítulo, es que se establecieron los rangos, requerimientos y requisitos, mediante los cuales estarán encaminadas las etapas de diseño e implementación de la tarjeta, de manera que se encuentre acorde a los lineamientos del marco normativo de la instrumentación destinada a la monitorización de calidad de potencia eléctrica. Con esto, se da cumplimiento al primer objetivo específico: “Establecer los rangos de distorsión armónica y los parámetros de estado estable para

redes de tensión menor a 1 kV que serán monitoreados, acorde a las normativas dirigidas

a equipos de medida de calidad de potencia eléctrica.

Page 28: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y
Page 29: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

19

2. DESARROLLO DE HARDWARE

En este capítulo se presenta el proceso de diseño de todos los componentes de hardware empleados en la tarjeta de adquisición y procesamiento de señales, para el monitoreo de distorsión armónica y parámetros de estado estable en redes de tensión menor a 1 kV. La tarjeta se desarrolló a partir de los requisitos o requerimientos mínimos establecidos en estándares dirigidos al diseño y construcción de dispositivos de monitoreo de parámetros eléctricos y calidad de energía, y de esta manera proporcionar información confiable al registrador de parámetros eléctricos. De acuerdo a lo anterior, la tarjeta construida está compuesta por los elementos de la Figura 2.1.

Figura 2.1. Elementos de hardware que componen la tarjeta de adquisición y procesamiento.

La tarjeta es capaz de adquirir la información de hasta 3 canales de tensión y 4 de canales de corriente dependiendo de la topología del sistema bajo estudio. La señal de cada canal captada por los sensores, es acondicionada antes de ser ingresada al conversor análogo digital del Controlador Digital de señales para su posterior procesamiento. Los resultados son enviados a la tarjeta de comunicaciones del registrador de parámetros eléctricos y pueden ser visualizados mediante una interfaz gráfica en un PC. A continuación se explica en detalle el diseño e implementación de cada bloque que compone la tarjeta.

2.1. ETAPA DE SENSADO

Uno de los aspectos más importantes en cualquier proyecto de implementación es la correcta elección de los dispositivos que tendrán lugar en el funcionamiento de un equipo.

Page 30: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

20

En esta sección se presentan las características más importantes de los sensores de tensión y corriente seleccionados.

2.1.1. Sensor de tensión

Para seleccionar el sensor encargado de adquirir las señales de tensión del sistema bajo estudio, es importante tener en cuenta los siguientes requerimientos:

• Un único sensor deberá captar las señales de tensión para las tarjetas de monitoreo de parámetros de estado estable y distorsión armónica, sobretensiones transitorias de corta duración y huecos de tensión, ya que conectar sensores en paralelo en cada fase podría resultar en impedancias bajas que el sistema considere como carga, además de aumentar considerablemente el tamaño y costo de la aplicación. Por esta razón, el rango de medida del sensor deberá ser lo suficientemente amplio para detectar sobretensiones transitorias de hasta 3 kV.

• El ancho de banda del sensor deberá ser tal que su respuesta sea lineal en el rango de frecuencias de 60 Hz hasta 500 kHz.

Es claro que los requisitos más exigentes en la selección del sensor de tensión son impuestos por la detección de sobretensiones transitorias de corta duración. Razón por la cual se selecciona una técnica de sensado muy utilizada en este tipo de aplicaciones como es el divisor de tensión capacitivo. Entre las ventajas de esta técnica se encuentran: Su relación de transformación permanece constante para un rango amplio de frecuencias [23], su respuesta lineal para un elevado rango de tensiones, un reducido consumo de potencia y un bajo costo, lo que la hacen una opción viable para esta aplicación.

Dentro de las actividades del proyecto de investigación se encuentra el desarrollo de la rama de alta tensión del divisor, no obstante, no es objetivo del presente proyecto de grado, por lo que se toman los parámetros de la Tabla 2.1 como referencia para establecer los niveles de tensión que se tendrán en los ramales de baja.

Tabla 2.1. Parámetros de diseño del divisor capacitivo.

Máxima tensión de entrada [V] Máxima tensión de salida

[V] Relación de

transformación 3000 3 1021

A partir del parámetro de diseño del divisor capacitivo de tensión, es importante seleccionar el máximo nivel de tensión para el cual serán monitoreados los parámetros eléctricos de estado estable y distorsión armónica de tensión por la tarjeta implementada en este proyecto. En Colombia a nivel de distribución se tienen los siguientes valores nominales [24]:

• 120 V/240 V: Monofásico trifilar punto centra a tierra. • 208 V/120 V, 220 V/127 V, 123 V/214 V: Monofásico trifilar con punto centra a tierra • 240 V/ 120 V: Trifásico en triangulo. • 480 V/ 277 V: Trifásico en estrella.

Page 31: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

21

• 480 V/ 240 V: Trifásico en delta.

Teniendo en cuenta que el monitoreo comprende sistemas trifásicos de cuatro y tres hilos, la tensión nominal que se tomará será de 480 V, sin embargo, entre la terminal activa de la rama de alta tensión del divisor y tierra, la máxima tensión que caerá sobre ellas será 277 V, o una tensión pico igual a 391,8 V. Para esta tensión pico se obtiene una tensión máxima de salida del divisor capacitivo de:

= 391,81021 = 383,6 (2.1) 2.1.2. Sensor de corriente

A diferencia del sensor de tensión, la selección de los dispositivos encargados de captar las cuatro señales de corriente depende exclusivamente de los requerimientos establecidos para el monitoreo de distorsión armónica de corriente del sistema de alimentación. Las características que se tuvieron en cuenta para la selección fueron:

• Debe ser capaz de medir corrientes en un rango de cientos de miliamperios hasta 10 A-RMS, 20 A-RMS e incluso para rangos más altos con factores de cresta de 2,5.

• Poseer un ancho de banda superior a los 2,4 kHz que permita detectar componentes armónicos de orden 40.

• Presentar aislamiento galvánico para salvaguardar la integridad de los elementos constitutivos de la tarjeta ante sobretensiones y sobrecorrientes en la red.

• Realizar la medición de la forma de onda de la señal de manera no intrusiva, es decir que no haya necesidad de abrir el circuito.

En la Tabla 2.2 se muestra la valoración de las características de las distintas alternativas que se consultaron para la selección del sensor de corriente. Debido a que se prefiere que el sensor seleccionado proporcione aislamiento galvánico, la posibilidad de la resistencia Shunt se descartó inicialmente.

Tabla 2.2. Características de alternativas para sensor de corriente.

Sensores Corriente

Resistencia Shunt

Transformador de Corriente

Sensor de Efecto Hall

Bobina Rogowski

Linealidad en rango de medición Muy buena Regular Regular Muy

buena Medición de

alta corriente Pobre Buena Buena Muy buena

Consumo de Potencia Alto Bajo Medio Bajo

Saturación No Si Si No Variación por efecto de

temperatura Medio Bajo Alto Muy bajo

Page 32: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

22

De la Tabla 2.2 puede inferirse que la mejor opción para el sensor es la Bobina Rogowsky, no obstante, la aplicación de este tipo de sensores es idónea para sistemas donde la magnitud de corriente está por el orden de los miles de amperios, por lo que para bajas corrientes se pueden presentar imprecisiones, teniendo en cuenta además que su precio es elevado. Los sensores de efectos hall presentan la desventaja de necesitar alimentación externa para su funcionamiento, por lo que los trasformadores de corriente o CT’s, son seleccionados como la técnica de medición a emplear.

Dentro de la amplia gama de alternativas de pinzas de corriente, FLUKE es ampliamente reconocido en la fabricación de instrumentación eléctrica para equipos de su propia marca, aunque también ofrece la posibilidad de adaptarse a sus terminales tipos BNC o banana con dispositivos externos. Se opta entonces por la sonda amperimétrica AC fluke I400s, una pinza con principio de funcionamiento de transformador, que a la salida entrega una señal proporcional de tensión facilitando su posterior acondicionamiento.

La sonda amperimétrica AC fluke I400s es una pinza con rango seleccionable, de alta seguridad, diseñada para reproducir formas de ondas de corriente de hasta 400 A-RMS a una tensión de salida proporcional de hasta 400 mV-RMS, aislando galvánicamente el circuito de potencia con el instrumento de medida de manera no intrusiva [25]. Con un ancho de banda de hasta 10 kHz, la hacen adecuada para medir componentes de frecuencia de la señal de órdenes elevados. Consta de salida tipo BNC que permite conectarse a un osciloscopio, medidor de calidad de energía eléctrica, multímetros digitales e instrumentos con adaptadores BNC/BANANA. Posee un bajo consumo de potencia y buena linealidad en el rango de medición. La Tabla 2.3 refleja sus principales características técnicas [25].

Tabla 2.3. Especificaciones de la sonda I400s.

Especificaciones

Rango de corriente nominal

Rango de 40 A De 0,5 a 40 A Rango de 400 A De 5 a 400 A

Precisión básica Rango de 40 A 2% + 0,015 A (45-400 Hz)

Rango de 400 A 2% + 0,04 A (45-400 Hz)

Cambio de fases

Rango de 40 A De 0,5 a 1 A Sin especificar De 1 a 5 A 4° De 5 a 10 A 3° De 10 a 20 A 3° De 20 A 40 A 2° De 40 A 400 A NA Rango de 400ª De 0,5 a 1 A N/A De 1 a 5 A N/A De 5 a 10 A Sin especificar De 10 a 20 A 2° De 20 A 40 A 2° De 40 A 400 A 1,5°

Page 33: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

23

Ancho de banda típico Rango de 40 A 5 Hz – 10 kHz) Rango de 400 A 5 Hz - 10 kHz)

Niveles de salida Rango de 40 A 10 mV/A Rango de 400 A 1 mV/A

Factor de cresta Rango de 40 A ≤ 3

Rango de 400 A De 3 ≤ a 300 A De ≤ 2,5 a 400 A

Seguridad CAT IV 600 V, CAT III, 1000 V

Tensión máxima 1000 V AC

Las pinzas de corriente se colocan justo a la entrada del módulo, ancladas en las borneras de conexión, llevando las señales de tensión diferenciales hacia la tarjeta.

2.2. ETAPA DE ACONDICIONAMIENTO

Esta sección presenta la descripción de los circuitos implementados para la adecuación de las señales captadas por los sensores de tensión y corriente, con el propósito de adaptarlas al rango dinámico de las entradas analógicas del conversor analógico digital del (DSC). Otro aspecto que se incluye en la etapa de acondicionamiento es el filtrado de la señal para evitar el fenómeno aliasing al que se ven expuestos todos los sistemas de muestreo.

2.2.1. Circuito de acondicionamiento de tensión

Debido a la relación de transformación tan alta que posee el divisor capacitivo, es necesario amplificar la señal de salida y agregarle un nivel DC para eliminar los valores negativos de la tensión de entrada y de esta forma, aprovechar todo el rango dinámico del ADC (0 - 3 VDC).

Por otro lado, al no poseer separación galvánica del circuito de instrumentación, es necesario proveer un circuito de protección en caso de producirse una sobretensión. Otro aspecto a tener en cuenta es que el divisor capacitivo posee una alta impedancia para posteriores procesos, por lo que también se debe agregar una etapa de desacople de impedancias. Por último se adiciona un filtro anti-aliasing a la entrada del ADC para limitar la banda de frecuencias de la señal muestreada, de ruido y componentes superiores a la frecuencia de interés.

2.2.1.1. Protección contra sobretensiones y tensiones negativas

En vista que el divisor capacitivo no proporciona aislamiento galvánico entre el equipo de medida y el sistema bajo estudio, se opta por utilizar dispositivos supresores de tensión como diodos TVS, utilizados en aplicaciones de protección contra sobretensiones y descargas electrostáticas debido a su alta velocidad para disipar una gran cantidad de energía cuando el valor de tensión de ruptura ha sido superado. El diodo TVS se conecta

Page 34: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

24

en paralelo a la línea que se requiere proteger, de manera que cuando ocurre una sobretensión, esta pueda ser recortada y la corriente fluya por el camino de menor impedancia que ofrece el diodo hacia tierra, salvaguardando así la integridad de los circuitos de acondicionamiento y el controlador digital de señales (DSC).

El diodo seleccionado es el SMLVT, capaz de disipar 600 W en señales de 10/1000μ , tensión de ruptura de 4,1 VDC, valor que regulará el diodo hacia los circuitos de acondicionamiento. Esta capacidad de protección es complementada por la acción de saturación de los amplificadores operacionales para tensiones superiores a la tensión de alimentación.

El diodo TVS proporciona protección para señales de polaridad positiva, sin embargo, los pines de entrada del conversor analógico digital del (DSC), que solo aceptan tensiones en un rango positivo de 0 a 3 VDC, son altamente susceptibles a tensiones negativas que pueden presentarse por la presencia de señales muy grandes o por aleatoriedad cuando no se utilice algún canal. Razón por la cual, se utilizan diodos Schottky en paralelo a la entrada del conversor, los cuales se activan para tensiones negativas produciendo una caída de -0,2 VDC, valor que es soportado sin causar daño por los pines de entradas analógicas del (DSC). En la Figura 2.2 se presenta la configuración para la protección de los tres canales analógicos de la tarjeta destinados a captar la tensión de alimentación del sistema bajo estudio.

Figura 2.2. Esquema de protección.

2.2.1.2. Desacople de impedancias

Debido a que la impedancia de los condensadores es considerablemente alta, en la salida del divisor capacitivo se adiciona un circuito de desacople compuesto por un amplificador operacional en modo seguidor para eliminar la alta impedancia, se asegura entonces que la señal de entrada sea netamente tensión y se eviten las corrientes de carga a la entrada del filtro, que perjudican la señal [26]. El circuito implementado con el amplificador de precisión OP07 se observa en la Figura 2.3.

Page 35: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

25

Figura 2.3. Amplificador operacional OP07 en configuración seguidor de tensión.

Este amplificador posee características técnicas que lo hacen adecuado para este proyecto: es un dispositivo de bajo ruido, pues la tensión de ruido a la entrada alcanza apenas los 0,6μ − , tiene una velocidad de respuesta o un slew rate de 0,3 /μ , baja tensión de offset de 75 μ , ancho de banda de lazo cerrado de 600 kHz, resistencia de salida de lazo abierto de 60 Ω y rechazo a modo común típicamente de 90 dB. Entre sus aplicaciones resaltan el procesamiento de señal de bajo nivel y filtros de precisión [27].

2.2.1.3. Etapa restadora

Como se mencionó anteriormente, la tensión nominal del instrumento será 480 V, siendo este valor obtenido por una configuración bifásica o en delta del sistema bajo estudio, por lo que el equipo deberá ser capaz de sensar tensiones de línea. Para ello, se implementa una etapa restadora que mide diferencialmente las dos tensiones de fase asociadas a la tensión de línea. De esta manera, mediante conectores en la tarjeta se determina, dependiendo del tipo de configuración, si la medición de cada canal de tensión se efectúa respecto a tierra o a la fase subsecuente [28].

La Figura 2.4 representa la configuración implementada, en donde dependiendo de la posición del conector acoplado a la entrada inversora, el amplificador de instrumentación AD620, actúa como seguidor de tensión con ganancia unitaria o como etapa restadora. Cabe mencionar que cuando se requiera medir tensiones de línea, la referencia en este caso será proporcionada por el circuito digital y no por el sistema bajo estudio.

Page 36: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

26

Figura 2.4. Etapa restadora para medir tensiones de línea.

2.2.1.4. Amplificación y nivel DC

Dado que la salida del divisor no aprovecha la totalidad del rango del conversor analógico digital, se debe amplificar dicha tensión de manera que la señal resultante oscile entre ±1,5

en condiciones nominales. Por otro lado, la entrada analógica del conversor solo admite tensiones positivas, por lo que valores del ciclo negativo de la señal de salida, serán detectados por el ADC como 0 y se perdería la mitad de la información, por lo que se debe adicionar un offset de 1,5 V para obtener una tensión de salida que oscile en el rango de 0 a 3 V.

Para implementar el circuito de amplificación y offset, se utiliza el amplificador de instrumentación AD620, ideal para sistemas de precisión de adquisición de datos e instrumentación médica. El AD620 es un amplificador de baja potencia y alta precisión que requiere de un solo resistor para establecer la ganancia (1-1000), baja tensión de offset de

50 μ á , bajo ruido de tensión de entrada de 9 /√ que asegura que no se vaya a introducir distorsión que degrade la señal, ancho de banda de 120 kHz y alimentación bipolar. Ofrece un buen desempeño en cuanto a rechazo en modo común CMRRR de 90 dB a bajas frecuencias, es decir, no introduce ruido externo durante el tratamiento de la señal de entrada y un bajo error de ganancia típicamente de 0,1% [29]. Además, permite amplificar y adicionar un nivel DC a la señal de entrada usando un solo amplificador.

Internamente el amplificador de instrumentación AD620 está compuesto por los elementos mostrados en la Figura 2.5, esta configuración permite realizar la amplificación tanto de la señal proveniente del sensor capacitivo, como de una señal DC de referencia para obtener una tensión de 1,5 V que se adicione a la señal de entrada para aprovechar el rango del conversor, esta señal DC amplificada es negativa para que en la etapa

Page 37: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

27

diferencial se sumen las tensiones y lograr así un desplazamiento positivo de la señal de entrada.

Figura 2.5. Constitución interna del amplificador de instrumentación AD620.

De acuerdo al fabricante, la ganancia del amplificador viene dada por:

620 = 49,4 Ω + 1(2.2) Donde es el valor de resistencia que determina el factor de amplificación de la señal

de entrada.

El valor nominal de tensión a la entrada del divisor capacitivo, no permite que se aproveche la totalidad del rango de conversión para tensiones inferiores y que son comúnmente utilizadas en sistemas de distribución, llevando a una consecuente disminución en la precisión del instrumento. Es por ello, que se divide el rango de adecuación de tensión para tres distintas tensiones de entrada, siendo estas 120 V, 277 V y 480 V. La Tabla 2.4 representa los parámetros de diseño del circuito de amplificación y nivel DC para cada uno de los niveles de tensión.

Tabla 2.4. Parámetros de diseño del circuito de amplificación y nivel DC.

Rango de tensión [V]

Tensión en el rama de baja [mV] Ganancia Tensión DC de

referencia [mV]

Resistencia RG

[kΩ]

120 166.2 9,02 166.2 6,15 277 383,6 3,91 383,6 16,97 480 664.8 2,25 664.8 39,25

La configuración implementada para el AD620 con los parámetros de diseño obtenidos, es representada en la Figura 2.6. El rango de tensión de entrada es seleccionado mediante contactos que conectan la resistencia correspondiente a los rangos establecidos. El valor

Page 38: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

28

DC de referencia es obtenido mediante la calibración de la tensión de alimentación de 5 V a través de un trimmer de 1 kΩ, conectado simultáneamente a los tres canales analógicos de tensión.

Figura 2.6. Configuración del AD620 para etapa de amplificación y nivel DC de las señales de tensión.

2.2.1.5. Filtro anti-aliasing

Se adiciona un filtro antialiasing para eliminar las componentes superiores a la frecuencia de interés. En vista que se desea determinar hasta el armónico número 40, la frecuencia máxima de interés es 2,4 kHz en redes de 60 Hz. Se desea entonces que la salida del filtro sea plana en esta banda y las componentes superiores sean atenuadas para que su contenido no se solape o superponga en las componentes de frecuencia inferiores.

De acuerdo al teorema de Nyquist, la máxima frecuencia de corte para evitar el efecto aliasing, es la mitad de la frecuencia de muestreo, por lo que para una frecuencia de muestreo de 7680 muestras por segundo, la máxima frecuencia de corte del filtro debe ser 3840 Hz.

El filtro seleccionado corresponde a un pasabajas butterworth de cuarto orden en configuración Sallen-key como se muestra en la Figura 2.7. Este tipo de configuración ofrece la respuesta más plana entre los esquemas de filtros activos. Cada operacional en configuración de realimentación con los elementos pasivos necesarios para su implementación, ofrece dos polos, por lo que se utilizan dos operacionales por cada canal. El filtro se implementó con el amplificador de precisión OP07, ya que entre sus aplicaciones se encuentra los filtros de precisión.

Page 39: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

29

Figura 2.7. Esquema filtro Butterworth de cuarto orden.

La Figura 2.8 presenta la respuesta en frecuencia del filtro, donde a partir de la frecuencia de corte, el filtro atenúa las componentes superiores a una razón de −80 por década.

Figura 2.8. Diagrama de Bode. Línea Azul: Magnitud y Línea Roja: Fase.

Aunque la atenuación en la frecuencia de muestreo no alcanza el valor recomendado de -98 dB, para un factor de -40 dB, donde los armónicos de estos órdenes son de por si lo suficientemente pequeños serán atenuados aún más, garantizando que no se solaparán con los armónicos de orden inferior. Otro factor por el que no se aumentó el orden del filtro, es porque de acuerdo al nivel de cuantificación del conversor del (DSC) para una resolución de 12 bits, la mínima tensión detectable por el ADC será:

Page 40: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

30

= 34096 = 700μ (2.3) Este valor corresponde a 60 dB, por lo que tensiones inferiores no serán cuantificados

por el conversor y no es necesario una atenuación más brusca por parte del filtro.

Otro importante aspecto a considerar, es que según el diagrama de fase se presenta un corrimiento de fase de la señal de entrada, pero en vista que este corrimiento será el mismo en cada componente espectral del mismo orden, la diferencia en ángulos seguirá siendo la misma y los cálculos de potencia podrán ser realizados.

En este punto, la señal acondicionada de tensión es adecuada para ser ingresada a las entradas analógicas del ADC del (DSC). El circuito de acondicionamiento total es representado en la Figura 2.9, los mismos elementos son empleados para los tres canales de tensión.

Figura 2.9. Circuito de acondicionamiento de tensión implementado.

2.2.2. Circuito de acondicionamiento de corriente

La tensión proporcional de salida de la pinza amperimétrica a la corriente de entrada, no alcanza a ocupar todo el rango dinámico de entrada del conversor analógico digital, por lo que también es necesario implementar una etapa de amplificación y adición de nivel DC, similar a la utilizada en el circuito de acondicionamiento de tensión.

En vista que la pinza amperimétrica proporciona aislamiento eléctrico entre el circuito de potencia y el dispositivo de medida, no es necesario implementar una etapa de protección a la salida del sensor, y solo se ubican diodos Schottky en las entradas analógicas del conversor para protegerlas de tensiones negativas.

La impedancia de salida de la pinza amperimétrica es alta, por lo que se adiciona el mismo circuito de desacople compuesto por el amplificador OP07 en modo seguidor de la etapa de acondicionamiento de tensión.

Page 41: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

31

A pesar de que la pinza amperimétrica posee dos rangos de entrada para corrientes de hasta 40 A-RMS y 400 A-RMS, en circuitos de baja tensión, donde en su mayoría se manejan corrientes de pocos Amperios, la representación digital de estos valores por el (ADC) es realizada en pocos niveles de cuantificación, lo que se traduce en una pérdida considerable de la resolución e incapacidad de detectar las pequeñas variaciones en la señal de entrada de corriente. Por esta razón, se decidió disminuir el rango de entrada de la pinza para detectar corrientes inferiores a la nominal, aprovechando un mayor rango de entrada del conversor. Por tanto, se diseñó el circuito de amplificación para que a una entrada de corriente de 20 A-RMS, 40 A-RMS, 200 A-RMS y 400 A-RMS, la tensión de salida de la pinza ocupe la totalidad del rango dinámico de entrada del conversor.

El sensor opera bipolarmente al ingresarle una corriente de las mismas características, por lo que también se debe subir un nivel DC para que la señal conserve la totalidad de su información. Se adiciona entonces, un offset de 1,5 V para obtener un voltaje de salida que oscile en rango de 0-3 V. Para implementar el circuito de amplificación y offset, se utiliza de nuevo el amplificador de instrumentación AD620, donde los parámetros de diseños para los diferentes niveles de corriente son presentados en la Tabla 2.5.

Tabla 2.5. Parámetros de diseño del circuito de amplificación y nivel DC.

Rango de corriente [A]

Tensión de salida en la pinza

[mV] Ganancia Tensión DC de

referencia [V]

Resistencia RG

[kΩ]

20 0,282 5,31 0,282 11,46

40 0,565 2,65 0,565 29,93 200 0,282 5,31 0,282 11,46 400 0,565 2,65 0,565 29,93

De la misma manera que para el circuito de acondicionamiento de tensión, los rangos son seleccionados por medio de conectores que establecen la conexión hacia la resistencia de ganancia correspondiente al rango deseado. La configuración implementada con el AD620 para los valores de diseño obtenidos, es representada en la Figura 2.10. Un trimmer es el encargado de proporcionar la tensión de referencia DC para los 4 canales analógicos de corriente.

Por otro lado, gracias a que la relación de trasformación permanece constante en el rango seleccionable de la pinza amperimétrica de (0 - 400 A), para corrientes de entrada superiores a 40 A-RMS que se deseen medir, únicamente será necesario seleccionar el rango en la pinza amperimétrica, y dependiendo de la posición del trimmer, los rangos de amplificación se modifican de (0 – 200 A) o de (0 - 400 A).

Page 42: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

32

Figura 2.10. Configuración del AD620 para etapa de amplificación y nivel dc de las señales de corriente.

Para esta aplicación se desea obtener también las componentes armónicas de la señal de corriente de hasta 2,4 kHz, por lo que el filtro anti-aliasing empleado es el mismo filtro butterwoth de dos etapas y cuarto orden en configuración Sallen-key, con amplificadores OP07 de la etapa de acondicionamiento de tensión.

La señal acondicionada de corriente es adecuada para ser ingresada a las entradas analógicas del ADC del (DSC). El circuito total de acondicionamiento es representado en la Figura 2.11. Los mismos elementos son empleados para cada uno de los 4 canales analógicos de corriente de la tarjeta.

Figura 2.11. Circuito de acondicionamiento de corriente implementado.

Page 43: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

33

2.3. CONTROLADOR DIGITAL DE SEÑALES TMS320F28335

De acuerdo a lo mencionado en secciones anteriores, el procesador es el elemento más importante en todo sistema de procesamiento digital de señales, por lo que de su correcta elección depende en gran parte el éxito de la aplicación. Los requerimientos de procesamiento son demasiado exigentes, ya que se deben calcular simultáneamente en un periodo reducido de tiempo la transformada rápida de Fourier, parámetros de estado estable e índices de distorsión armónica para 7 canales. Por su parte, la capacidad de memoria debe ser la suficiente para almacenar los resultados que se van generando en cada periodo de la señal, antes de ser transferidos a la base de datos. Además, el dispositivo de procesamiento debe poseer los periféricos necesarios para interactuar con la tarjeta de comunicaciones y otros dispositivos externos. El TMS320F28335 es un Controlador Digital de Señales de bajo costo que posee las características necesarias para satisfacer los requerimientos de la aplicación, en esta sección se describen algunas de sus funcionalidades.

El controlador digital de señales TMS320F28335 hace parte de la familia C2000 de Texas instruments, posee en un único chip la potencia de procesamiento de un procesador digital de señales (DSP) y la funcionalidad de un microcontrolador en cuanto a una amplia variedad de periféricos, siendo una opción adecuada y económica. El TMS320F28335 posee una velocidad de reloj de 150 MHz y es capaz de ejecutar seis operaciones básicas en un solo ciclo de instrucción, sus módulos más importantes se observan en el diagrama de bloques de la Figura 2.12 [30]:

Figura 2.12. Diagrama de bloques TMS320F28335 [30].

Page 44: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

34

Sistema de bus externo e interno: El TMS320F28335 posee una arquitectura que consta de dos buses independientes de programa y datos. Mientras un bus accede al espacio de memoria de programa para buscar las instrucciones, el otro bus es usado para traer los operandos del espacio de memoria de datos y/o almacenar los resultados devuelta a la memoria, incrementado la trasferencia de datos en paralelo. Un tercer bus, llamado bus de registros, conecta todas las unidades dentro de la CPU, esto permite un rápido intercambio de datos entre sus unidades aritméticas paralelas [30].

Unidad central de procesamiento CPU: Es el corazón del procesador, posee un hardware multiplicador capaz de ejecutar multiplicaciones de 32X32 bits en un solo ciclo de reloj, esto permite una alta transferencia de datos. La Figura 2.13 muestra los principales componentes de la CPU. El hardware multiplicador 32X32 bits de coma fija y la unidad lógica aritmética (ALU) pueden ser usados para ejecutar simultáneamente una operación de multiplicación y adición de números de coma fija. La unidad lógica aritmética (ALU) ejecuta adiciones, sustracciones y operaciones lógicas diferentes a la multiplicación. Para agregar flexibilidad manejando tipos de datos de coma flotante de simple precisión IEEE 754, el dispositivo tiene una segunda unidad multiplicativa denominada unidad de coma flotante (FPU).

Figura 2.13. Arquitectura de la CPU de TMS320F28335.

Mapa de memoria: El espacio de memoria del F28335 está uniformemente mapeado en espacio de datos y programa. El ancho de los espacios de memoria son de 16 bits, es decir que se accede en bloques de 16 bits por cada ciclo de instrucción [30]. La memoria interna no volátil consiste de un grupo de secciones de memoria flash de 256K palabras de 16 bits, un Boot-ROM de (8Kx16) y un área una vez programable (OTP). La Flash y OTP son usualmente usados para almacenar código de control para la aplicación y/o información que debe estar presente al reiniciar

El controlador digital de señal TMS320F28335 posee además las siguientes características:

Page 45: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

35

• Dos ADC’s de 12 bits completamente independientes de 8 canales, cada uno con entrada multiplexada. Tasa de muestreo determinada por el usuario de hasta 12,5 MHz.

• Hasta 88 pines GPIO programables individualmente, multiplexados con filtro de entrada.

• Modulación de ancho de pulso (PWM) con 18 salidas y 6 son salidas (HRPWM) con resolución de 150 ps MEP.

• PLL basado en sintetizador de frecuencia, programable para reloj del núcleo. • Diseño de bajo consumo (1.8V en el núcleo, 3.3 V I/O).

Periféricos de puerto serial:

• 2 módulos CAN • 3 módulos SCI (UART) • 2 Módulos Mcbsp • Un módulo SPI • Un Bus I2C (Inter-Integrated-Circuit).

2.4. JTAG

La interfaz entre el Code Composer Studio y el controlador es el emulador JTAG, éste permite descargar el código al chip y emular su comportamiento, facilita entrar a modo de depuración, escribir a memoria, salvar líneas de registro y leer de memoria. El JTAG utilizado es el XDS100V2, una tarjeta launch pad que alcanza una velocidad serial de 1MHz.

Se diseñó la tarjeta con la opción de depurar y programar el (DSC) a través de la interfaz gráfica en tiempo real “in circuit”, es decir, sin tener que extraer la control card que contiene el chip, de la tarjeta implementada. Esto se logró colocando un conector de 14 pines que se conecta al PC por medio de un cable USB.

2.5. FUENTE DE ALIMENTACIÓN

Para alimentar todos los elementos de la tarjeta, se requiere de una fuente de tensión DC que supla el consumo de potencia de cada uno de ellos. Los amplificadores de instrumentación y amplificadores operacionales demandan una alimentación dual de ±5 V, el (DSC) requiere una tensión de alimentación a la tarjeta de control de 5 V, la cual internamente se encarga de proporcionar las tensiones de operación del chip y pines I/O. Por otro lado, una tensión de alimentación de 3,3 V es necesaria para el puerto JTAG.

Una de las principales recomendaciones para el cálculo de la fuente de alimentación, es sobredimensionar entre el 50 % y 75% por encima de los consumos de cada circuito integrado [31][32]. En la Tabla 2.6 se presenta el consumo aproximado de los dispositivos electrónicos.

Page 46: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

36

Tabla 2.6. Consumo de potencia de los dispositivos electrónicos.

Componente Cantidad Consumo de potencia [W]

OP07C 21 0,2625

AD620 10 0,08

F28335 1 2,28

JTAG 1 0,33

Total 2,952

La potencia de la fuente de alimentación debe ser del orden de 4,5 W, para cumplir con estos requerimientos se tiene previsto, dependiendo del consumo de potencia de las tarjetas de huecos de tensión, sobretensiones y comunicaciones, que la alimentación sea suministrada por la fuente de alimentación TMP 31212C marca Traco Power, con las características que se observan en la Tabla 2.7. Se prevé incluir reguladores para suministrar la tensión de ±5 V y 3,3 V.

Tabla 2.7. Especificaciones de salida de la fuente DC TMP 31212C.

Entrada AC Voltaje de entrada: 100 – 240 VAC

Frecuencia: 47 – 440 Hz

Salida DC 12 VDC

-12 VDC

Máx. corriente de salida 1300 mA

Potencia de salida 30 W

Precisión de voltaje ± 2 %

2.6. PCB

Los módulos estarán integrados en una única tarjeta, por lo que se deben proveer las distancias suficientes que garanticen que las señales que circulen por las pistas, no tengan problemas de interferencia electromagnética debido a fenómenos de acoplamiento entre ellas.

La mayor parte del espacio en la PCB será ocupado por los módulos de acondicionamiento de tensión y corriente, por lo que su distribución determina en gran medida el dimensionamiento de la tarjeta. La tarjeta de control que contiene el chip TMS320F28335 se encuentra alojada en un socket de 100 pines, lo cual facilita la ubicación del Controlador Digital de Señales sin ocupar un espacio considerable. La Figura 2.14 presenta el esquemático creado en el software Altium Designer del socket con los respectivos recursos habilitados del (DSC), así como también del header empleado para la conexión con el JTAG.

Page 47: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

37

Figura 2.14. Esquemático del socket de 100 pines creado en Altium Designer.

La norma IPC-2221 [33], guía que proporciona lineamientos sobre la organización de los distintos elementos que puede tener la PCB, recomienda clasificar y distribuir los componentes de acuerdo la frecuencia que manejen para evitar conflictos en las señales debido a interferencias entre ellas, por lo tanto, se divide la PCB en regiones de baja frecuencia, donde se ubican los circuitos de acondicionamiento y alimentación, y frecuencias más altas, donde se sitúa el Controlador Digital de señales que maneja señales internas con frecuencias elevadas.

Teniendo en cuenta que la tarjeta maneja comunicación UART hacia la tarjeta de comunicaciones del registrador, se recurre a la regla de 1/3 de tiempo de subida para determinar que una longitud critica de 400 mm, por lo que el ruteo de la pista para los canales de comunicación no debe superar este valor para evitar problemas de reflexión y deformación de señales, ubicándose por lo tanto los canales de comunicación UART de transmisión y recepción lo más cerca al socket del DSC.

Se hará el dimensionamiento del ancho de pista para una corriente máxima de 0,75 A, que es la que se prevé pueda circular por las pistas cuando la tarjeta esté trabajando con todos sus canales habilitados. Se establece entonces, según [33], que el ancho requerido para soportar esta corriente es 0,2 mm. A pesar de que la alta impedancia que proporcionan los amplificadores operacionales impide la circulación de altas corrientes dentro de los circuitos de acondicionamiento, se sobredimensiona el ancho a 0,4 mm de las pistas para

Page 48: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

38

evitar trabajar al límite y se produzca sobrecalentamiento de la PCB. En cuanto a la separación entre pistas, depende de la tensión que soporten, por lo que para una tensión de alimentación de 5 V, es suficiente una separación mínima de 0,3 mm. Así pues, el diseño la PCB realizado en Altium se presenta en la Figura 2.15.

Figura 2.15. Diseño de la PCB de la tarjeta de adquisición y procesamiento.

Se prevé la utilización de una PCB de dos capas para disminuir la cantidad de pistas que puedan haber en caso de utilizar una única capa conductora, además de utilizar planos de tierra para ambas caras, con el fin de obtener una distribución de corriente adecuada en la superficie de las capas.

El resultado final se muestra en la Figura 2.16. En ella se pueden ver los circuitos necesarios para el funcionamiento de la tarjeta, las conexiones de los sensores, los circuitos de acondicionamiento para cada una de las fases, circuitos de alimentación, las conexiones con la tarjeta de control, las salidas para la tarjeta de comunicaciones y para la interfaz gráfica mediante el JTAG.

Las dimensiones de la tarjeta son de aproximadamente 140 x 110 mm, se establece una distancia mínima de aproximadamente 10 mm entre el DSC y la fuente de alimentación de la tarjeta para evitar conflictos que puedan alterar la naturaleza de las señales que se van a procesar.

Page 49: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

39

Figura 2.16. Tarjeta implementada

2.7. AVANCES CAPITULO 2

En este capítulo se diseñaron y seleccionaron todos los elementos que componen el hardware de la tarjeta. Se parte desde los elementos que captan las señales de tensión y corriente, y a partir de sus salidas, se diseñan e implementan los circuitos de acondicionamiento que garantizan tanto la integridad de los dispositivos electrónicos, como la adecuada adquisición de las señales que permitan el procesamiento y análisis de los parámetros de estado estable y distorsión armónica. Se opta por el Controlador Digital de Señales TMS320F28335 por su alta capacidad de cómputo y almacenamiento, como elemento encargado de digitalizar y procesar las señales analógicas acondicionadas.

Se diseña la PCB y se construye la tarjeta con todos elementos seleccionados para adquirir y procesar hasta 3 canales de tensión y 4 canales de corriente. Se diseña y ubica el socket que aloja la tarjeta de control que contiene el chip del controlador digital de señales, habilitando los recursos que serán utilizados en este proyecto como el conversor analógico digital, JTAG y puertos de comunicación serial SCI.

Con el desarrollo de las actividades de la actividades descritas en este capítulo, se da cumplimiento al segundo objetivo propuesto, que establece “Diseñar e implementar los

bloques funcionales de adquisición y procesamiento de señales de tensión y corriente que

componen la tarjeta, que permita la detección y monitoreo en redes trifásicas y

monofásicas.”

Page 50: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y
Page 51: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

41

3. IMPLEMENTACIÓN DE SOFTWARE

Como complemento del hardware implementado, se desarrolló la herramienta de software para la adquisición, procesamiento y análisis de la información contenida en las señales eléctricas bajo estudio. Además, se implementaron los protocolos de comunicación destinados a la transmisión de los resultados a la interfaz gráfica y a la tarjeta de comunicaciones del registrador.

Las etapas de desarrollo del software están representadas mediante el esquema de la Figura 3.1. El bloque de inicialización selecciona los parámetros iniciales del sistema bajo estudio, previo a la adquisición. El bloque de adquisición de datos contiene la configuración del conversor analógico digital (ADC) para la recepción de las señales por medio del controlador de acceso directo a memoria DMA. El bloque de procesamiento ejecuta los algoritmos para la estimación de los parámetros eléctricos de estado estable y distorsión armónica. El bloque de actualización y promediado de datos, apunta y almacena los diferentes vectores para la agregación de los resultados. Por último, el bloque de comunicaciones es el encargado de desplegar los resultados en la interfaz gráfica y realiza el envío de datos a la tarjeta de comunicaciones del registrador.

Figura 3.1.Bloques constitutivos del software implementado.

El proceso lógico donde se llevan a cabo cada una de las acciones de los bloques constitutivos del software para esta aplicación, es representado en el esquema de la Figura 3.2. En las siguientes secciones se describirá las funciones ejecutadas en cada módulo del programa desarrollado.

Page 52: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

42

Configuracion

Modulos

Transferencia DMA:

Conversor - RAM

Tipo de Sistema

Sistema Trifásico

Triangulo

Sistema

Monofásico

Sistema Trifásico

Estrella

Descargar muestras

a buffer de entrada

de FFT

Desarrollar FFT

Factores de Escala

Descargar magnitud

y fase de Armonicos

Calculos de estado

estable

Procesamiento

Canal de tension

Procesamiento

Canal de corriente

Calculo de potencia

Procesamiento Fase x

Almacenamiento

RAM

Almacenamiento

RAM

Transmisión: RAM a

Tarjeta Comunicaciones

Procesamiento Procesamiento Fase x

Valor RMS canal x

Valor THD canal x

Valor Pico canal x

Potencia Activa Fase x

Potencia Reactiva Fase x

Potencia Aparente Fase x

Factor de Potencia

P. Activa Fundamental Fase x

P Aparente Fundamental Fase x

Coseno Phi

Procesamiento Fase x

Valor RMS canal x

Valor THD canal x

Valor Pico canal x

Potencia Activa Total

Potencia Reactiva Total

Potencia Aparente Total

Factor de Potencia Total

P. Activa Fundamental Total

P Aparente Fundamental Total

Coseno Phi Total

Transmisión: RAM a

Tarjeta Comunicaciones

Procesamiento Fase x

Valor RMS canal x

Valor THD canal x

Valor Pico canal x

Potencia Activa Fase x

Potencia Reactiva Fase x

Potencia Aparente Fase x

Factor de Potencia Fase x

P. Activa Fundamental Fase x

P Aparente Fundamental Fase x

Coseno Phi Fase x

Potencia Activa Total

Potencia Reactiva Total

Potencia Aparente Total

Factor de Potencia Total

P. Activa Fundamental Total

P Aparente Fundamental Total

Coseno Phi Total

Transmisión: RAM a

Tarjeta Comunicaciones

Cálculos de estado

estable

Promedio Promedio

Promedio

Figura 3.2. Lógica para las adquisición, procesamiento y comunicación de información

3.1. BLOQUE DE INICIALIZACION

Al tener la tarjeta la posibilidad de establecer tanto los niveles de tensión y corriente, como la topología del sistema bajo estudio, la adquisición y procesamiento de las señales analógicas varía en función del sistema monitoreado. Es por ello que la adquisición de muestras solo inicia hasta que el dispositivo maestro envíe por SCI/UART las configuraciones iniciales de la estructura seleccionada para que el programa de la tarjeta pueda ajustar los rangos de corriente y tensión, número de canales y factores de escala que deben ser valorados como se presenta en los diagramas de las Figuras 3.3 y 3.4.

Page 53: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

43

Configuracion_Modulo

Infinito ;;

¿Monofasico=1? O ¿Trifasico_Y=1? O

¿Trifasico_Delta=1?

¿Ok=1? Y ¿Configuracion_Ok=1? Y

¿Rango_Voltaje=1? Y ¿Rango_Corriente=1?

¿Tension_Baja=1? O ¿Ttension_Media=1? O ¿Tension_Superior=1?

¿Corriente_Minima=1? O ¿Corriente_Maxima=1?

Configuracion_Ok=1 Rango_Voltaje=1 Rango_Corriente=1

Tipo_Sistema=Sist_MonofasicoPromedio_Datos=Prom_Datos_Monofasico

Num_Canales=2

¿Monofasico=1?¿Trifasico_Y=1?¿Trifasico_Delta=1?

Tipo_Sistema=Sist_Trifasico_YPromedio_Datos=Prom_Datos_Trifasico_Y

Num_Canales=8

Tipo_Sistema=Sist_Trifasico_DeltaPromedio_Datos=Prom_Datos_Trifasico_Delta

Num_Canales=8

Break

NO

SI SI

NO

SI

NO

SI

NO

SI

NO

SI

SI

NO

Figura 3.3.Configuración del procesamiento para el del tipo de sistema bajo estudio.

scibRxIsr

¿Direccion_SCI?

Monofasico=1 Trifasico_Y=1 Trifasico_Delta=1 Ok=1 SCI_RX_Flag=1 StopDMACH1

Reinicio=1Configuracion_Nueva=1Tension_Baja=1

Factor=Factor_120

Tension_Media=1Factor=Factor_277

Tension_Superior=1Factor=Factor_480

Corriente_Minima=1Factor=Factor_20

direccion_SCI=34direccion_SCI=38 direccion_SCI=43 direccion_SCI=62

direccion_SCI=36direccion_SCI=60

direccion_SCI=122

direccion_SCI=121 direccion_SCI=120 direccion_SCI=94 direccion_SCI=126

Corriente_Maxima=1Factor=Factor_40

direccion_SCI=118direccion_SCI=117

Fin

Figura 3.4.Datos iniciales enviados por la tarjeta de comunicaciones para inicio de procesamiento.

Page 54: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

44

3.2. BLOQUE DE ADQUISICION DE DATOS

Si se desea estimar las componentes armónicas en estado estacionario hasta el armónico 40 para señales de 60 Hz, la frecuencia de muestreo debe ser mayor a 4800 SPS. Por otra parte, la entrada al cálculo de la FFT exige que el número de muestras sea una potencia de 2, y al establecer la ventana de adquisición de muestras para que abarque un periodo completo de la señal, el valor más cercano que cumple estos requerimientos es 7680 SPS, con la cual es posible adquirir 128 muestras cada periodo y realizar un análisis espectral hasta 3840 Hz con una resolución espectral de 60 Hz, haciendo posible determinar el valor de los armónicos de la señal.

Si la referencia positiva es 3 V y la tensión de referencia negativa es tierra (0 V), el rango de tensión aceptado sin saturación por el conversor A/D es una entrada de 0-3 V. El valor obtenido en los registros de cada canal, es un número binario de 12 bits que equivale a:

= 4096 ∗ 3 (3.1) Donde es la tensión de entrada al ADC y 4096 son los niveles de

cuantificación posibles para un número de 12 bit [34].

3.2.1. Configuración del conversor analógico digital

Se configura el conversor A/D del (DSC) para que opere a una tasa de muestreo de 7680 muestras por segundo. Entre tanto, la digitalización de las señales analógicas debe ser llevada a cabo simultáneamente entre fases, es decir, realizar el muestreo de las señales de tensión y corriente de una fase determinada al mismo tiempo, de esta forma el ángulo del desfase entre ellas no se vea afectado por retrasos en el proceso de conversión. Así pues, el modo de trabajo simultáneo es seleccionado para que mientras la entrada analógica 0 sense la señal de tensión, la entrada 0 sense la señal de corriente, haciendo posible obtener la potencia instantánea en tiempo real. Esta secuencia se repite en las demás entradas analógicas de conversor A/D para un sistema trifásico, mientras es cargado un buffer de almacenamiento a donde son enviadas las 128 muestras de cada canal habilitado. La configuración de los registros del módulo de conversión A/D del (DSC) es presentada en el anexo A.

3.2.2. Controlador de acceso directo a memoria

Una implicación del procesamiento en tiempo real, es que debe ser completado antes que la siguiente muestra o bloque de muestras esté disponible. El presente proyecto maneja una frecuencia de muestreo = 7,68 , es decir que solo se tiene = 1/7680 =0,13 para procesar las 128 muestras de cada uno de los 7 canales. El procesador F28335 posee una frecuencia de operación máxima de 150 MHz, la cual tomaría 500.000 ciclos de reloj para calcular la FFT, fase y magnitud, índices de distorsión armónica y

Page 55: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

45

parámetros de estado estable de los 7 canales de entrada, sin tener en cuenta los tiempos asociados a las trasferencias del ADC, acceso a memoria, entre otros procesos que consumen ciclos de máquina. Para una ventana de medición de 16,6 ms, el sistema debe estar en capacidad de realizar el procesamiento del bloque de 896 muestras, antes de que el siguiente bloque esté disponible, en ciclos de reloj esto corresponde a:

= 150.000.0007680 = 19.531(3.2)

Esta cantidad es varias veces inferior a lo que tardaría el controlador para realizar el procesamiento total de las muestras. Afortunadamente, la potencialidad del TMS320F28335 no se fundamenta en su velocidad de procesamiento, sino en la capacidad total de su sistema, por lo tanto, para este tipo de limitaciones, el (DSC) cuenta con un módulo de controlador directo a memoria DMA que permite ejecutar trasferencias de datos, hacia o desde un buffer de memoria, sin intervención de la CPU. Por lo tanto, mientras un bloque de 896 muestras es movido a un área de memoria desde el conversor A/D, el núcleo del (DCS) puede procesar el bloque de muestras correspondientes al periodo de muestreo anterior. Cuando el DMA finaliza la transferencia de N muestras, pone en marcha una interrupción de manera que la CPU determina cuando una nueva información está disponible. Bajo este enfoque, el procesador tendría ahora para realizar el procesamiento de las muestras un total de:

= 150.000.00060 = 2.500.000(3.3)

Tiempo suficiente para realizar el procesamiento total de los 7 canales de entrada. De esta manera, como se muestra en el diagrama de bloques de la Figura 3.5, mediante punteros se van almacenando las direcciones donde se alojan las muestras para que sean transferidas desde el buffer de origen del conversor A/D, hasta una sección específica de memoria RAM, después de que las 128 muestras de cada canal habilitado son transferidas, se almacenan las direcciones para que un nuevo paquete de muestras sea conducido. La configuración de los registros del módulo DMA del (DSC) es presentada en el anexo A

Configuracion DMAComienza

Transferencia

Desplaza muestras

desde el origen

Ubica muestras en

Memoria RAM

Almacena las

direcciones de

origen y destino

Procesamiento

Figura 3.5.Diagrama de bloques de transferencia por medio de DMA.

Page 56: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

46

3.2. BLOQUE DE MEDIDA

En este bloque se implementan los algoritmos para el cálculo de los parámetros de estado estable y distorsión armónica, basados en las recomendaciones establecidas en el Estándar IEEE1459-2000 para redes en presencia de señales armónicas [11]. A partir de las muestras obtenidas en el bloque de adquisición, se realizan las siguientes estimaciones para cada canal de medición:

• Transformada Rápida de Fourier (FFT) • Magnitud y fase de cada armónico • THD de tensión y corriente • Tensión RMS y Corriente RMS • Tensión Pico y Corriente Pico

También se implementan los cálculos de potencia realizados para cada fase de medición:

• Potencia Activa por fase y trifásica (P) • Potencia Reactiva por fase y trifásica (Q) • Potencia Aparente por fase y trifásica (S) • Factor de Potencia por fase y trifásico (FP) • Potencia Activa Fundamental por fase y trifásico (P1) • Potencia Aparente Fundamental por fase y trifásico (S1) • Coseno Phi por fase y trifásico (Φ)

3.2.1. Transformada Rápida de Fourier

Aunque el estándar NTC-IEC 61000-4-7 recomienda que la FFT sea realizada cada 12 ciclos de la señal de entrada de 60 Hz, con un ancho de ventana de 200 ms y una resolución espectral de 5 Hz, estos requerimientos resultan demasiado exigentes en cuanto a capacidad de almacenamiento y velocidad de procesamiento se refiere, especialmente para un sistema trifásico de 7 canales, más aun cuando no es propósito de esta aplicación estimar componentes interarmónicos. Bajo este contexto, la NTC-IEC 61000-4-7 advierte que para instrumentación de bajo costo, la FFT puede ser eventualmente realizada sobre un periodo de la señal. Por otro lado, el cálculo de parámetros de estado estable como el valor pico, valor RMS, potencia activa, aparente y FP es usualmente realizado cada ciclo de la señal, por lo tanto, como la mayoría de estos parámetros serán estimados a partir del resultado de las componentes armónicas de las señales de tensión y corriente, se establece que el muestreo sea realizado cada periodo de la señal.

Uno de los factores que introducen error en la estimación de magnitudes eléctricas mediante la FFT, es la realización del cálculo en formato de coma fija [35]. Por esta razón, para la computación de la FFT se utiliza la unidad de coma flotante FPU, la cual contiene rutinas FFT con funciones especializadas que realizan el algoritmo FFT e IFFT de manera optimizada, al estar programadas en lenguaje ensamblador.

Page 57: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

47

Una vez se han muestreado los 7 canales de entrada para un sistema trifásico, o 2 para un sistema monofásico, el controlador digital de señales espera a que las transferencias DMA tengan disponible un bloque de muestras en un buffer de entrada para que se inicie el procesamiento. Los datos de los canales analógicos muestreados son previamente convertidos de formato entero a variables tipo float antes de ser almacenados al vector de entrada de la función que realiza la FFT. El cómputo se realiza secuencialmente cada 128 puntos canal por canal. El resultado de la FFT para cada canal son 64 puntos reales y 64 puntos imaginarios que representan el contenido armónico de la señal de entrada. Las salidas espectrales obtenidas se utilizan para los cálculos posteriores de magnitud y fase de los armónicos individuales de tensión y corriente, así como también, la estimación de los parámetros de estado estable y distorsión armónica. Cuando este proceso finaliza, se espera nuevamente a que una nueva transmisión DMA sea realizada para ejecutar el procesamiento del siguiente bloque de muestras.

Como recomienda la NTC-IEC 61000-4-7, al con contar con un sistema de sincronización de la frecuencia de muestreo con la frecuencia fundamental, las muestras son ponderadas, previo al cálculo de la FFT, a través de la ventana Hanning.

3.2.1. Magnitud y fase de cada armónico

Las salidas reales e imaginarias procedentes del cálculo de la FFT para cada canal, son posteriormente transformadas a coordenadas polares, las cuales determinan la magnitud y fase de cada armónico. A continuación, los resultados de magnitud son escalados para establecer los valores reales eficaces de los componentes armónicos, por el factor:

= 2 ∗128 ∗ √2(3.4) Dado que la estimación de la FFT divide la energía de cada armónico a la mitad para los

espectros (0 − /2) y( /2 − ), se multiplica por 2 la mitad del espectro de interés, además, se divide por la cantidad de muestras de la ventana de medición ya que implícitamente en el cálculo de la FFT, las muestras son ponderadas por el número de muestras . Los resultados son multiplicados también por la relación de transformación del sensor de corriente o de tensión dependiendo del canal que se está analizando. Por último se divide el valor pico de los armónicos individuales para determinar su valor eficaz.

3.2.2. Distorsión Armónica Total (THD)

La estimación de los índices de distorsión armónica THD de tensión y corriente, utiliza los resultados de la función de magnitud de la FFT y realiza el siguiente cálculo:

= ∑ (3.5)

Page 58: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

48

= ∑ (3.6) 3.2.3. Valor RMS de tensión y corriente

La estimación de valor RMS se realiza teniendo en cuenta las recomendaciones del estándar IEEE 1459-2000 para redes distorsionadas [11]. Así, las ecuaciones implementadas para el cálculo del valor RMS de corriente o de tensión son:

= (3.7)

= (3.8) 3.2.4. Valor pico de tensión y corriente

Se parte de la lectura de las señales digitalizadas y convertidas a valor real para cada canal de medición, se sustrae por software el valor del nivel DC agregado en las etapas de acondicionamiento, y se obtiene voltaje y corriente pico comparando las muestras y manteniendo el valor máximo en cada periodo de la señal.

3.2.5. Potencia Activa y Reactiva

Se parte nuevamente de los resultados obtenidos para los armónicos individuales de las señales de corriente y tensión. Se realiza entonces una sumatoria de potencias instantáneas obtenidas mediante el producto de los valores eficaces de los armónicos tensión y corriente, y la diferencia de sus ángulos, por lo tanto la potencia activa y reactiva monofásica será:

= , ∗ , cos( − )[ (3.9)

= , ∗ , sin( − )[ (3.10)

Page 59: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

49

Para un sistema en estrella de cuatro hilos, la potencia activa y reactiva total trifásica estará determinada por la sumatoria algebraica de las potencias activa y reactiva de cada fase. Para un sistema delta de tres hilos, se utiliza el método Aarón de dos vatímetros [36] para calcular la potencia activa y reactiva trifásica del sistema, mediante las ecuaciones:

= + (3.11) Donde:

= , ∗ , cos( − )[ (3.12) = , ∗ , cos( − )[ (3.13)

La potencia activa fundamental toma únicamente el valor de magnitud y fase de la componente fundamental de las señales de tensión y corriente, para realizar el cálculo de las ecuaciones (3.9)(3.12)(3.13).

3.2.6. Potencia Aparente

El cálculo de potencia aparente monofásica tiene en cuenta los valores de RMS de las señales de tensión y corriente por fase como refleja la ecuación (3.14).

= ∗ [ (3.14)

Según el Estándar IEEE 1459, para sistemas trifásicos de tres hilos se calcula la potencia aparente efectiva, valor que convierte cualquier sistema, en uno equilibrado en tensión y balanceado en corriente, presentando las mismas perdidas del sistema trifásico verdadero [11]. Se desarrolla el cálculo de la potencia aparente efectiva por medio de las ecuaciones:

= 3 ∗ [ (3.15) Donde

= + +3 [ (3.16)

Page 60: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

50

= + +9 [ (3.17) De igual manera, el cálculo de la potencia aparente en un sistema de cuatro hilos se

determina mediante la expresión (3.15), donde la tensión y corriente efectiva vienen dados por las ecuaciones:

= + + +3 [ (3.16) = + +3 [ (3.17)

Para el cálculo de la potencia aparente fundamental se toma únicamente el valor de magnitud de la componente fundamental de las señales de tensión y corriente.

3.2.7. Factor de Potencia

El factor de potencia toma los resultados de potencia activa y potencia aparente de cada fase para calcular la relación de éstos dos valores, como se muestra en la ecuación (3.18). .

= = + (3.18) Por su parte, el cálculo del coseno Phi toma los resultados de potencia activa

fundamental y potencia aparente fundamental de cada fase y realiza la relación entre estos dos valores. La configuración de los registros del (DSC) para el cálculo de estos parámetros es presentada en el anexo A.

3.3. BLOQUE DE ACTUALIZACION Y PROMEDIADO DE DATOS

El bloque de actualización y promediado de datos apunta y almacena los diferentes vectores con los parámetros calculados en el bloque de procesamiento, para la acumulación y agregación de los resultados. La agregación de resultados se realiza con el objetivo de fusionar las medidas obtenidas en cada ventana temporal en tiempos más grandes, además actúa como un filtro pasabajas para eliminar la oscilación entre medidas [37]. El método estándar de acuerdo con NTC-IEC 61000-4-30, es iniciar promediando las

Page 61: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

51

ventanas de medición de 200 ms; sin embargo, debido a que en esta aplicación se emplea una ventana temporal de 16,6 ms, este intervalo de tiempo es inicialmente agregado en tiempos de 200 ms y posteriormente en 3 s. En vista de que la tarjeta de comunicaciones solicita los datos para el registro de los parámetros eléctricos cada 2 minutos, los promediados siguientes de 10 minutos y 2 h son realizados externamente por el registrador.

La capacidad de memoria RAM de 32 K, palabras de 16 bits que posee el controlador digital de señales, resulta insuficiente para almacenar el promediado de armónicos individuales para los 7 canales de entrada. Como alternativa se planteó emplear una memoria externa con una capacidad mayor, sin embargo, los accesos a memoria externa requieren de ciclos adicionales que podrían llegar a entorpecer el procesamiento de datos en tiempo real. Por esta razón, se decidió no tener en cuenta la agregación de armónicos individuales y realizar el promediado de los parámetros de estado estable e índices de distorsión armónica total en cada ciclo, para los cuales se cumple con los requerimientos de capacidad de almacenamiento mínima. La ecuación para el promedio de un parámetro de medición, con un número de ventanas y tiempo de agregación dado, está determinada por la siguiente ecuación:

= 1 (3.19) Donde es el número de ventanas en el tiempo de agregación . El funcionamiento de

este bloque se basa en contadores y punteros que irán alojando los resultados en vectores de almacenamiento.

3.3.1. Agregación de 12 y 180 ciclos

La primera agregación se realiza por medio de un contador de ventanas que se encarga de verificar continuamente que se hayan acumulado los resultados correspondientes a 12 ciclos de las señales de entrada. Cuando esta condición se cumple, se realiza la media cuadrática de los datos almacenados, y se genera un único valor agregado de 12 ciclos para cada parámetro de estado estable y distorsión armónica total. Inmediatamente, el contador vuelve a su valor inicial y comienza de nuevo el conteo de las siguientes 12 ventanas, donde los valores acumulados en los 12 ciclos anteriores, son actualizados por los siguientes valores de las ventanas de medición.

Bajo esta misma lógica, una segunda agregación es realizada mediante un nuevo contador que contabiliza las agregaciones de 12 ciclos, cuando 15 agregaciones son efectuadas, los valores almacenados en 180 ciclos o 3 s, son nuevamente promediados para generar un único valor agregado de 180 ciclos para cada parámetro de estado estable y distorsión armónica total. Este proceso se ejecuta consecutivamente 40 veces, tiempo equivalente a dos minutos, en el cual, la tarjeta de comunicaciones solicita la información

Page 62: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

52

para el registro de los resultados. Una vez los datos son enviados, los contadores se reinician y los valores de las matrices son actualizados.

En la Figura 3.6 se muestra el diagrama correspondiente a la lógica que se usa para desarrollar las agregaciones, así como también la forma en que son almacenados los datos en las matrices mediante punteros. La configuración de los registros del (DSC) para la agregación de resultados es presentada en el anexo A

Mtx

_m

sM

tx_

ms

Mtx

_m

in

Mtx

_s

Mtx

_s

Pro

cesa

mie

nto

v

t

ptr_s

Configuracion

Selección de posición

seleccionador v

Recorrer posiciones

(x12) con seleccionador

t y hacer promedio

Almacenar resultado en

vector Mtx_ms

Cada 12 veces se

debe reiniciar

d

c

ptr_minptr_ms

Agregacion 12 ciclos Agregación 180 ciclos2

1 1

2

Selección de posición

seleccionador d

Recorrer posiciones

(x15) con seleccionador

c y hacer promedio

Almacenar resultado en

vector Mtx_min

Cada 15 veces se

debe reiniciar

Agregación 12

ciclosAgregación 180

ciclosSe almacenan 40 paquetes de

datos producto de la

agregación de 180 ciclos

Reiniciar

Almacenar 12

paquetes de datos

Almacenar 15

paquetes de datos

200 ms

3 s

2 min

Figura 3.6. Diagrama para promedio de parámetros mediante agregación de 12 y 180 ciclos.

Page 63: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

53

3.4. BLOQUE DE COMUNICACIONES

Este bloque es el encargado del despliegue de los parámetros medidos, en la interfaz gráfica mediante comunicación serial proporcionada por el JTAG. Por otro lado, permite la transmisión por protocolo de comunicación SCI o UART de los datos agregados a la tarjeta de comunicaciones para su registro.

3.4.1. Interfaz Gráfica GUI

Para la visualización de los datos se utilizó un complemento de Code Composer Studio llamado GUI Composer Studio (Graphical user Interface), el cual permite la creación de entornos gráficos para la visualización de variables de un programa del (DSC), mediante un lenguaje de programación orientado a objetos como Java o JavaScript.

El objetivo de la interfaz es la visualización de las variables calculadas para validar el funcionamiento de la tarjeta, permite además comprobar y depurar cualquier cambio que se le realice al programa principal durante la etapa de desarrollo del software de medición. En la Figura 3.7 se presenta la pantalla principal de la interfaz realizada, en donde los datos son enviados por medio de comunicación serial del JTAG para ser visualizados a través de GUI Composer Studio. Para visualizar las variables de interés, basta con asociar la variable del programa con el widget HTML5 de visualización seleccionado.

Figura 3.7. Pantalla principal de la interfaz gráfica implementada.

La pantalla principal se encarga de orientar las otras pantallas de visualización de datos. Esta pantalla está compuesta por:

Page 64: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

54

• Selección del tipo de sistema bajo estudio, permitiendo elegir entre un sistema monofásico o trifásico.

• Selección de la visualización de los espectros de amplitud de los armónicos de tensión y corriente para cada canal.

• Selección de la visualización de los espectros de fase de los armónicos de tensión y corriente para cada canal.

• Selección de los parámetros de estado estable y distorsión armónica total.

En la Figura 3.8 se visualiza la pantalla de selección de los espectros de fase de los armónicos de tensión y corriente del sistema bajo estudio. Por su parte, la Figura 3.9 presenta la pantalla de selección de los parámetros de estado estable y distorsión armónica total para los canales individuales. La interfaz se limita a recibir los datos enviados por el DSC, desplegarlos, graficarlos y actualizarlos cada periodo de la señal.

Figura 3.8. Pantalla de visualización de espectros de fase de los armónicos individuales.

Figura 3.9. Pantalla de visualización de parámetros de estado estable.

Page 65: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

55

3.4.2. Envío de datos por SCI/UART

El protocolo SCI/UART es empleado para enviar los datos promediados de 180 ciclos a la tarjeta de comunicaciones cada 2 minutos. El diagrama de flujo para establecer comunicación con la tarjeta de comunicaciones se muestra en la Figura 3.10. Cuando el dispositivo detecta que se han realizado las 40 agregaciones de 180 ciclos, el programa habilita la comunicación y transfiere la totalidad de los resultados almacenados. Para que la tarjeta de comunicaciones pueda identificar y etiquetar la información que recibe de las diferentes tarjetas de monitoreo, antes de ser enviados cada uno de los datos son convertidos de tipo float a cada cadena de caracteres de 7 dígitos (4 enteros y 3 decimales), seguidos por un ‘; ’que define el comienzo y fin de la cadena. Cuando la transmisión de información culmina, el programa inicia nuevamente el procesamiento de las señales de entrada. La configuración de los registros del módulo SCI del (DSC) es presentada en el anexo A

Configuración

módulos de inicio

SCI_TX_Flag=0

SCI_RX_Flag=0

Transferencia DMA

FFTSTARTFLAG=1

¿FFTSTARTFLAG=1?

Procesamiento

FFTSTARTFLAG=0

Agregación

¿Pasaron 2 min?

Habilitar interrupción

de recepción UART

Descomponer dato

SI

SI

1

NO

4

1

Interrupción UART

de recepción

SCI_RX_Flag=1?

¿Se transfirió vector

Mtx_min?

Transmitir cadena de

caracteres. Abrir con

paréntesis (

¿Se transmitieron 7

caracteres?

Cerrar cadena con

punto y coma

¿Se transmitio un

paquete de datos?

Cerrar paquete de datos

con un paréntesis )

SI

NO

SI

NO

SI

NO

Cerrar transmisión

con signo %

Break

Transferencia DMA

SCI_RX_Flag=0

SI

4

NO

NO

SCI_RX_Flag=1

Figura 3.10. Flujograma para transmisión de datos por comunicación UART.

Page 66: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

56

3.4.3. Configuración memoria Flash

Si se desea que la tarjeta ejecute el programa al reiniciarse sin la intervención del JTAG, se requiere guardar el código del programa en la memoria Flash, la cual no pierde su contenido cuando se apaga o deja de ser alimentada. Para el definir el modo del arranque del F28335 por medio de la Flash, se deben configurar correctamente los contactores ubicados en la tarjeta de control para que el programa a ejecutarse sea almacenado directamente en la Flash, este proceso de autoarranque permite que cuando el (DSC) es reiniciado, se dirija a buscar la primera línea de programa en la memoria no volátil. Con éste modo de operación solo es necesario aplicar tensión de alimentación y el DSC empieza inmediatamente a ejecutar el programa.

3.5. AVANCES CAPITULO 3

Se desarrollaron e implementaron los algoritmos que permiten adquirir las señales acondicionadas para su digitalización y procesamiento, y a partir de allí, visualizar y transmitir los resultados a la tarjeta de comunicaciones. El software desarrollado permite estimar parámetros de estado estable como potencia activa, reactiva y aparente, factor de potencia, valores RMS y pico, magnitud y fase de armónicos individuales y distorsión armónica total, de hasta 7 canales analógicos simultáneamente. Las señales son muestreadas y procesadas cada periodo de 16,6 ms sin retardos por parte de la CPU. Posteriormente los resultados son promediados en periodos de agregación más extensos para su registro.

Se implementó una interfaz gráfica en GUI Composer Studio, que permita al usuario corroborar los resultados obtenidos. De igual manera, se desarrolló el protocolo de comunicación por medio de comunicación serial SCI/UART para el envío de datos promediados a la tarjeta de comunicaciones del registrador, y ser almacenados en una base datos.

Como resultado de las actividades desarrolladas en este capítulo, se da cumplimiento al tercer objetivo específico propuesto, que señala “Diseñar el software de medición que

permita determinar el contenido armónico y los parámetros de estado estable en redes de

tensión menor a 1 kV, así como también visualizar en una interfaz gráfica y transmitir los

resultados para su registro en una base de datos.”

Page 67: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

57

4. PRUEBAS Y RESULTADOS

La etapa de pruebas certifica tanto el funcionamiento de cada uno de los bloques constitutivos de la tarjeta, como el alcance de los objetivos planteados inicialmente en el proyecto. Las pruebas son realizadas a los sensores seleccionados y a los circuitos de acondicionamiento implementados. Se realiza la validación de los algoritmos de software desarrollados en la etapa de procesamiento, confrontando los resultados frente a un equipo analizador de calidad de potencia. Por último, se valida el protocolo de comunicación implementado para el envío de datos a la tarjeta de comunicaciones. Se describe además, la disposición final y características técnicas de la tarjeta implementada.

4.1. PRUEBAS DE HARDWARE

En este apartado se presentan las pruebas de funcionamiento realizadas a los módulos de hardware correspondientes a los sensores y circuitos de acondicionamiento de tensión y corriente para cada uno de los canales de entrada.

4.1.1. Pruebas a los sensores de tensión y corriente

Se realizan prácticas de laboratorio enfocadas en la medición de los parámetros de funcionamiento que caracterizan el comportamiento del divisor resistivo y la sonda I400s. Las pruebas de comportamiento estático están encaminadas a determinar si la respuesta del sensor presenta una respuesta lineal en la totalidad o parte del rango de interés de medición. El comportamiento en frecuencia, permite corroborar que los sensores seleccionados no distorsionan o atenúan las señales de entrada dentro del rango de 60 Hz a 2,4 kHz.

4.1.1.1. Pruebas al divisor resistivo

La tarjeta del divisor capacitivo se encuentra en etapa de desarrollo, por lo que fue necesario implementar un arreglo de resistencias que produzcan una relación de trasformación similar al arreglo capacitivo, y de esta forma poder caracterizar los canales de entrada de tensión de la tarjeta a partir de la respuesta que produce el sensor. La Figura 4.1.a, presenta el esquema de resistencias en serie para cada canal de entrada, la relación de transformación resultante será:

= 1 Ω + 20 Ω + 1 Ω1 Ω = 1021(4.1)

Page 68: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

58

Por su parte, la figura 4.1.b muestra la tarjeta implementada con resistencias de precisión de 1% para obtener una relación de transformación lo más aproximada posible a la de diseño.

a)

b)

Figura 4.1. Divisor resistivo. a) Esquema para los canales de entrada de tensión. b) Tarjeta implementada.

Se realiza un barrido en AC de tensión de 0 a 277 VRMS a la entrada del divisor y se obtienen los datos de la salida para analizar su comportamiento. Se conectan los terminales de salida de la fuente variable de alimentación a las borneras hembra banana correspondientes a los ramales de alta tensión del divisor. Como instrumento de medición de la tensión de entrada y salida se utiliza el multímetro de precisión RIGOL DM3064. La Figura 4.2 presenta los resultados del barrido realizado en variaciones de 10 V para cada canal de tensión, en donde se evidencia las características de linealidad en el rango de

Page 69: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

59

interés. El mayor error de linealidad en los canales de tensión es de 0,0004% y se presenta en el canal C.

Figura 4.2. Tensión de entrada vs tensión de salida para cada canal de tensión.

Para determinar el comportamiento en frecuencia del divisor resistivo, se realizó un barrido a una tensión fija de una señal sinusoidal con frecuencias en el rango de 0 a 10 kHz. Para cada valor de resistencia que compone el divisor de un canal, se determina la respuesta en impedancia a medida que la frecuencia aumenta. Esto se realizó de esta manera ante los bajos valores de tensión que proporciona el generador de señales, único instrumento en el laboratorio capaz de realizar variaciones de frecuencia en el rango de interés, y ante la alta relación de transformación que posee el divisor, la cual generaría un valor de tensión de salida aún más bajo. Los resultados obtenidos de la prueba realizada a las resistencias de 1 kΩ, 20 kΩ y 1 MΩ se observan en la curva de la Figura 4.3.

Figura 4.3. Curva de ganancia para resistencias de 1 kΩ, 20 kΩ y 1 MΩ.

Page 70: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

60

Como era de esperarse para un componente resistivo, en bajas frecuencias se observa una desviación mínima de los valores de impedancia para frecuencias inferiores a 10 kHz. Se comprueba que el sensor de tensión posee el ancho de banda necesario para captar las señales de frecuencia requerida.

4.1.1.2. Pruebas sonda fluke I400s

Las pruebas realizadas a la sonda fluke I400s no permitieron abordar la totalidad del rango de medición ante la ausencia de un equipo inyector de corriente en el laboratorio. Sin embargo, se logró sensar una corriente máxima de 20 A-RMS para realizar el análisis. Se realizan variaciones tensión a un módulo de cargas resistivas y se registran las tensiones de salida que proporciona la pinza desde 0 hasta 20 A. Las pruebas fueron realizadas para una sonda, pues se considera un comportamiento similar en las 3 restantes de la misma referencia. La Figura 4.4 refleja el comportamiento lineal de las mediciones realizadas.

Figura 4.4. Resultados pruebas de linealidad sonda I400s.

Para la prueba de ancho de banda, la sonda I400s mide una corriente fija de entrada de aproximadamente 0,6 A-RMS, y se realiza un barrido en frecuencia desde 0 Hz hasta 10 kHz, valor indicado por el fabricante hasta donde se comporta linealmente.

Figura 4.5. Comportamiento en frecuencia sonda I400s.

Page 71: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

61

Los resultados de la prueba de frecuencia se observan en el diagrama de ganancia de la Figura 4.5, donde se evidencia un comportamiento lineal a frecuencias inferiores a 2,4 kHz y una atenuación pronunciada a partir de 10 kHz.

4.1.2. Pruebas del sistema de adquisición

Estas pruebas consisten en un seguimiento de las señales de tensión y corriente captadas por los sensores a través de los circuitos de acondicionamiento, desde que ingresan a los seguidores de tensión, hasta cuando son adquiridas por el conversor analógico digital (ADC) del controlador digital de señales.

4.1.2.1. Prueba etapa restadora

La primera prueba que se realizó fue validar el funcionamiento de la etapa restadora que permite la adquisición de tensiones de línea. Bajo esta configuración, la referencia es proporcionada por la fuente de alimentación DC que alimenta la tarjeta. Se habilita en la tarjeta el conector correspondiente a las configuraciones bifásicas o en delta, así como también, el que selecciona el rango de tensión en 277 V por tratarse de una señal de entrada trifásica de 120 V fase-tierra. La Figura 4.6.a presenta las tensiones de línea de 208 V medidas con el PQA 824, por su parte, la Figura 4.6.b representa la señal introducida al conversor producto de la resta de las tensiones de fase de los canales de entrada de tensión A y B de la tarjeta. Las gráficas garantizan el correcto funcionamiento de la etapa restadora.

a) b)

Figura 4.6. Tensiones de línea de 208 V. a) Medida PQA 824. b) Medida por el ADC.

4.1.2.2. Prueba etapa de amplificación y nivel DC

Con el propósito de corroborar el funcionamiento del circuito amplificador y sumador, se configuró el generador de señales como entrada a los circuitos de acondicionamiento de tensión y corriente simulando la salida que producirían los sensores, y se realizan

Page 72: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

62

variaciones de amplitud y frecuencia para determinar su respuesta y corroborar que los valores de ganancia y nivel DC generados por el amplificador de instrumentación AD620 concuerdan con los parámetros de diseño establecidos para aprovechar el rango dinámico del ADC de (DSC).

La primera prueba consiste en ingresar a la entrada del circuito de acondicionamiento de tensión, una tensión pico del generador de señales de 666 mV, magnitud que equivaldría en la rama de baja tensión del divisor para una entrada de 480 V en la rama de alta tensión. Se selecciona en la tarjeta entonces el rango nominal de tensión de 480 V y se calibra el trimmer la tensión de referencia DC correspondiente para obtener un valor de ganancia de 2,25 y un nivel de offset de 1,5 V. Bajo estas condiciones se espera que el amplificador mantenga los factores de ganancia y nivel DC constantes ante variaciones de la tensión de entrada. En la Figuras 4.7.a-c se muestran las relaciones entre la tensión RMS inyectada por el generador y la tensión de salida RMS del circuito del amplificación.

a) b)

c)

Figura 4.7. Relación para tensiones antes y después de la etapa de amplificación. a) Canal A de tensión. b) Canal B de tensión. c) Canal C de tensión.

Page 73: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

63

Se puede observar que las señales tienen aproximadamente la misma pendiente, es decir que poseen el mismo valor de ganancia independientemente de la amplitud de la señal de entrada. Bajo este supuesto, se garantiza que se cumplen con las especificaciones de amplificación para el rango de tensión seleccionado.

Por su parte, los resultados que reflejan las variaciones del nivel DC en cada uno de los canales durante el barrido de tensión realizado, se muestran en la Figura 4.8.

Figura 4.8. Nivel DC de salida del circuito de amplificación y nivel offset de tensión de entrada.

El nivel DC para cada uno de los canales permanece constante con pequeñas variaciones a lo largo del rango de incursión, dichas variaciones como se verá más adelante, se traducen en imprecisiones en la estimación del valor pico de la tensión de entrada.

Se realizó la misma prueba para el circuito de amplificación y nivel DC de las señales de corriente. Por lo tanto, se ingresa a la entrada del circuito de acondicionamiento de corriente, una tensión pico del generador de señales de 565 mV, magnitud que equivaldría en la salida de la pinza amperimétrica, a una entrada de 40 A de la corriente de línea. Se selecciona en la tarjeta entonces el rango nominal de tensión de 40 A y se calibra el trimmer la tensión de referencia DC correspondiente para obtener un valor de ganancia de 2,65 y un nivel de offset de 1,5 V. Bajo estas condiciones se espera que el amplificador mantenga los factores de ganancia y nivel DC constantes ante variaciones de corriente de entrada. En la Figuras 4.9.a-c se muestran las relaciones entre la tensión RMS inyectada por el generador y la tensión de salida RMS del circuito del amplificación.

Page 74: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

64

a) b)

c) d)

Figura 4.9. Relación para tensión antes y después de la etapa de amplificación. a) Canal A de corriente. b) Canal B de corriente. c) Canal C de corriente. d) Canal D de corriente.

De igual manera, se puede observar que las señales tienen aproximadamente la misma pendiente, es decir que poseen el mismo valor de ganancia independiente de la amplitud de la señal de entrada. Bajo este supuesto, se garantiza que se cumplen con las especificaciones de amplificación para el rango de corriente seleccionado.

Por su parte, los resultados que reflejan el comportamiento del nivel DC en cada uno de los canales de entrada de corriente durante el barrido realizado, se muestran en la Figura 4.10. El nivel DC permanece constante con pequeñas variaciones que se traducen en imprecisiones en la estimación del valor pico de la corriente de entrada, ya que estas no son tenidas en cuenta por el bloque de procesamiento, el cual siempre resta un valor fijo de 1,5 V a las señales que son digitalizadas.

Page 75: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

65

Figura 4.10. Nivel DC de salida del circuito de amplificación y nivel offset de corriente de entrada.

4.1.2.3. Prueba filtro antialiasing

Se probó también con señales de diferentes frecuencias para determinar el funcionamiento del filtro anti-aliasing. Se realizaron pruebas para el rango de interés, frecuencia de corte y superiores para evidenciar la atenuación de las señales de entrada. La señal de entrada del generador de señales al filtro correspondiente al canal de tensión, es una en una señal sinusoidal de 670 mV, equivalente en la rama de alta tensión del divisor a 480 V-RMS. Como se observa en la Figura 4.11 la señal no sufre ninguna distorsión en el rango de 0 a 2,4 kHz, a partir de 2,7 kHz se empieza a presentar una atenuación de la señal de entrada, y una atenuación de -3 dB en la frecuencia de corte de diseño equivalente 3,4 kHz, por lo que la amplitud de la señal se ve afectada a un factor de 0,7071. Para frecuencias mayores, por ejemplo a la frecuencia de muestreo, se tiene una atenuación de aproximadamente de -30 dB, garantizando un comportamiento del filtro similar a las simulaciones realizadas.

Figura 4.11. Respuesta del filtro antialiasing del canal de tensión A.

Page 76: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

66

La Figura 4.12 presenta la respuesta en frecuencia del filtro empleado en los canales de corriente, la señal ingresada en este caso por el generador de señales para ocupar la totalidad del rango dinámico es 570 mV en el rango de 40 A. Al poseer los mismos elementos del filtro de tensión, presenta un comportamiento idéntico en el rango de frecuencias de estudio, se espera por lo tanto, la misma respuesta de los 5 filtros de los canales restantes de entrada de corriente y tensión.

Figura 4.12. Respuesta del filtro antialiasing del canal de corriente A.

Cabe mencionar que a la salida de los circuitos seguidores de tensión no se evidenció distorsión de la señal de entrada, presentando esta etapa un adecuado comportamiento.

4.2. PRUEBAS DE SOFTWARE

Las pruebas de software están encaminadas a validar el funcionamiento de los algoritmos desarrollados para la estimación de las variables de interés, así como también del protocolo de comunicación implementado para el envío de información a la tarjeta de comunicaciones del registrador.

4.2.1. Pruebas del bloque de medida

Con el fin de realizar las pruebas y comparar los resultados obtenidos en el bloque de medida de la tarjeta construida, se toma como instrumento patrón el analizador de calidad de potencia y energía Fluke 435 Series II. Este instrumento de medición realiza estimaciones de parámetros de calidad de potencia acorde al estándar IEC 61000-4-30 clase A e IEC 61000-4-7 clase de precisión I para estimación de armónicos de tensión y corriente. A pesar de tener algunas diferencias en cuanto a la adquisición y procesamiento de la información, sirve como equipo de referencia para comprobar el funcionamiento y determinar las limitaciones de la tarjeta implementada.

Page 77: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

67

4.2.1.1. Prueba rectificador de media onda

Para determinar el comportamiento de la tarjeta en la estimación de armónicos individuales y distorsión armónica total, fue necesario introducir una carga que pudiera generar una corriente distorsionada con un contenido armónico que permitiera determinar la exactitud en la estimación para armónicos de orden 40. Por esta razón, se implementa un circuito rectificador de media onda que genera las señales de salida presentadas en la figura 4.13.a-b para una tensión de entrada de 160 V y una carga de 30 Ω.

a)

b)

Figura 4.13. Señales en la carga del rectificador de media onda. a) Señal de tensión adquirida por el CAD de la tarjeta. b) Señales de tensión y corriente adquirida por un osciloscopio.

Estas señales distorsionadas poseen una alta componente DC, debido a esto, la pinza fluke I400s resulta inadecuada para sensar la corriente de este montaje, entonces se utiliza pinza fluke I30s con una sensibilidad de 100 mV/A, que aunque posee un rango de corriente de entrada reducido, resulta idónea al aprovechar la totalidad del rango de entrada del conversor para bajas corrientes, reflejando de esta manera la flexibilidad de la tarjeta para adaptarse a otras pinzas de corriente. Se realiza un barrido en AC disminuyendo la tensión de alimentación desde 167 VP hasta aproximadamente el 10% de su valor y se adquieren los resultados instantáneos estimados por la tarjeta y el analizador. Los rangos de la tarjeta

Page 78: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

68

son seleccionados en 120 V y 40 A, las Figuras 4.14 y 4.15 presentan los resultados obtenidos para los parámetros de tensión y corriente, se evidencia que a condiciones nominales, los valores estimados por la tarjeta son análogos a los calculados por el analizador.

Figura 4.14. Armónicos individuales de tensión en la carga.

Figura 4.15. Armónicos individuales de corriente en la carga.

Se adquieren dos muestras instantáneas de cada parámetro para cada variación de tensión de alimentación. Las figuras 4.16 y 4.17 presentan los máximos errores, relativos al

Page 79: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

69

equipo patrón y respecto a la norma, en las mediciones realizadas para la totalidad y el 10% del rango nominal. Para instrumentos clase S, la norma IEC 61000-4-7 indica el rango en el cual el valor obtenido se encuentra en conformidad con esta, las condiciones que se presentan en esta norma son:

• Si ≥ 3% entonces el error máximo permitido es ±5% • Si < 3% entonces el error máximo permitido es ±0,15% • Si ≥ 10% entonces el error máximo permitido es ±5% • Si < 10% entonces el error máximo permitido es ±0,5%

Donde , son los valores medidos y , son los valores nominales. Bajo este supuesto, cuando las mediciones de tensión fueron superiores al 3% del valor nominal, el máximo error respecto a la norma ocurre en la estimación de la componente DC con un valor de -3,859%, mediciones inferiores al 3 % arrojaron un error máximo en la estimación del armónico 8° de -0,088%. Por su parte, cuando la tensión de alimentación fue cercana al 10% del valor nominal, en mediciones superiores al 3% el máximo error ocurre nuevamente en la estimación de la componente DC con un valor de -44,155%, bajo esta misma condición, mediciones inferiores al 3 % arrojaron un error máximo para el armónico 2° de 0,296%.

Con algunas excepciones, debido a que las mediciones fueron tomadas instantáneamente y no de valores promediados, los errores relativos al analizador no son superiores al 10% en la estimación de armónicos cuando la magnitud de estos supera el 0,03% del valor nominal de tensión.

Para la estimación de armónicos y parámetros de corriente, el máximo error respecto a la norma para mediciones de corriente menores al 10% del valor nominal, se presenta en la estimación de la componente DC con un -0,026% cuando la corriente de entrada es 2,7 A, y de -0,056% nuevamente en la estimación de la componente DC para una corriente de entrada del 0,26 A. En cuanto a los errores relativos al equipo patrón, los errores no superaron el 10% cuando la magnitud de los armónicos supero el 0,0025% del valor nominal.

Los errores en la estimación del nivel DC y por consiguiente en los valores RMS, pico y THD, pueden atribuirse a las pequeñas variaciones del nivel de offset de la señal acondicionada de tensión y corriente. Vale la pena aclarar que para la estimación de armónicos se deshabilito el ponderado Hanning debido a que se presentaban imprecisiones en el cálculo de la componente DC y armónicos pares.

Los resultados evidencian la limitación de la tarjeta en el cálculo de armónicos individuales muy pequeños. Este hecho puede atribuirse a la resolución del conversor analógico digital, el cual para señales de entrada que ocupen todo su rango dinámico, es capaz de detectar mínimo una tensión de 732 , este valor representa un 0,024% del rango nominal, lo que coincide aproximadamente con los resultados experimentales de la prueba. Debido a este hecho, se comprueba por qué los errores fueron considerables cuando las señales de entrada se aproximaron al 10% del valor nominal, bajo esta condición el conversor analógico digital no sería capaz de detectar señales inferiores al 0,25% de la

Page 80: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

70

señal de entrada. Por lo que si se desea estimar con mayor precisión armónicos con una magnitud más reducida, se requiere de un conversor A/D con una resolución de 16 bits o superior.

Figura 4.16. Errores relativos y respecto a la norma en la estimación de parámetros de tensión para condiciones nominales y 10% del rango nominal.

Figura 4.17. Errores relativos y respecto a la norma en la estimación de parámetros de corriente para condiciones nominales y 10% del rango nominal.

Page 81: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

71

4.2.1.2. Prueba motor trifásico conectado en delta

Esta prueba se realiza con el objetivo de determinar el comportamiento de la tarjeta en la estimación de parámetros de estado estable para una configuración trifásica conectada en delta. El montaje realizado en la sala LIFAE de la Facultad de Ingeniería de la Universidad Distrital Francisco José de Caldas, se puede observar en la figura 4.18.

Figura 4.18. Montaje realizado para conexión de carga en delta.

La carga en esta configuración está compuesta por un motor trifásico en vacío conectado en delta y alimentado por una fuente de alimentación trifásica de 208 V fase-fase. Se observa también la tarjeta implementada conectada a un PC mediante el JTAG para visualizar los resultados en la interfaz gráfica, una tarjeta Delfino conectada a un PC actuando como receptor de los datos promediados y transmitidos cada dos minutos por medio del protocolo SCI/UART, el analizador de calidad de potencia Fluke 435-II, la tarjeta del divisor resistivo, la tarjeta de los conectores BNC que reciben las señales de las pinzas de corriente Fluke I400s conectadas a los bobinados del motor, y la fuente DC que alimenta la tarjeta.

Los rangos en la tarjeta se seleccionan para 277 V Y 20 A, además se habilita el conector correspondiente para una configuración en delta, así, la referencia en esta configuración es proporcionada por la tierra de la fuente de alimentación DC. Las pruebas se realizaron por un intervalo de tiempo de dos horas, en donde se realizan 60 transferencias de datos promediados cada 3 segundos y se configura el analizador para que realice promedios cada minuto. El promedio de los errores relativos para cada uno de los parámetros calculados en los 6 canales de entrada se presentan en la Figura 4.19. Errores promedio relativos al equipo de medida para los parámetros estimados en conexión

Page 82: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

72

delta. Se observan errores que no superan el 3% en la estimación de los valores RMS, valores pico, THD, potencia aparente y potencia reactiva.

Figura 4.19. Errores promedio relativos al equipo de medida para los parámetros estimados en conexión delta.

Los errores más significativos se presentan en el cálculo de la potencia trifásica, imprecisión que afecta en igual proporción la estimación del factor de potencia al estar directamente relacionados. Se descarta en primera instancia un corrimiento de fase generado por los sensores o circuitos de acondicionamiento, debido a que los errores en el parámetro de potencia reactiva no superaron el 1%, parámetro calculado con los mismos argumentos que contiene el cálculo de la potencia activa. Esta desviación puede presentarse debido a diferencias en la metodología empleada por el analizador en el cálculo de la potencia trifásica de una configuración delta.

4.2.1.3. Prueba carga conectada en estrella

Esta prueba se realiza con el objetivo de determinar el comportamiento de la tarjeta en la estimación de parámetros de estado estable para una configuración trifásica conectada en estrella. El montaje está compuesto por una carga resistiva-inductiva trifásica conectada en estrella a una tensión de alimentación de 120 V fase-neutro que progresivamente irá disminuyendo hasta alcanzar aproximadamente un 10% de su valor nominal. Se selecciona en la tarjeta los conectores para una configuración en estrella, rango de 120 V para los canales de tensión y 20 A para los canales de corriente. El analizador es configurado para realizar promedios cada minuto. Se decide no sensar la corriente de neutro debido a que no se contaba con la disponibilidad de una cuarta pinza fluke I400s para esta prueba, no obstante, al ser una carga cuasi-equilibrada no se esperaban magnitudes significativas para este canal. Las Figuras 20-25 presentan los resultados de los parámetros más representativos generados por la tarjeta para la fase A, frente a los establecidos por el equipo patrón. Los resultados de las fases B y C presentan un comportamiento similar y se encuentran en el anexo B.

Page 83: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

73

Figura 4.20. Valor RMS de tensión para fase A. Tarjeta vs PQA.

Figura 4.21. Valor RMS de corriente para fase A. Tarjeta vs PQA.

Page 84: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

74

Figura 4.22. Valor THD de tensión y corriente para fase A. Tarjeta vs PQA.

Figura 4.23. Valores P. Activa, Reactiva y Aparente para fase A. Tarjeta vs PQA.

Page 85: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

75

Figura 4.24. Valores P. Activa, Reactiva y Aparente Trifásica. Tarjeta vs PQA.

Figura 4.25. Valores de F. de Potencia y Coseno Phi Trifásico. Tarjeta vs PQA.

Page 86: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

76

Los resultados reflejan la buena exactitud de la tarjeta en la estimación para la mayoría de los parámetros de estado estable, presentando estos una mejor aproximación en los parámetros de tensión ya que se operó con valores cercanos a totalidad del rango nominal. Por su parte, los resultados para la corriente son aceptables teniendo en cuenta que las mediciones fueron realizadas con magnitudes del 10% al 2,5% del rango de corriente nominal, conservando una adecuada exactitud en el cálculo del valor RMS y demás cálculos que derivan de su valor. La desviación del THD de corriente se torna considerable a medida que la corriente disminuye, por la limitación que posee la tarjeta en la estimación de armónicos con valores muy reducidos. La Figura 4.26 presenta el promedio de los errores relativos al analizador para cada parámetro calculado en los tres canales de tensión y corriente.

Figura 4.26. Errores relativos promedio en el tiempo de medida para los parámetros estimados en conexión estrella.

Se prevé que si se opera con niveles de corriente por encima del 5% del rango nominal, y se realiza una supervisión del nivel DC en la etapa de acondicionamiento, los parámetros estimados no presentaran un error superior al 5%.

Las pruebas realizadas no son lo suficientemente rigurosas para determinar que la tarjeta satisface los requisitos de las normas IEC 61000-4-30 e IEC 61000-4-7 en cuanto a error e incertidumbre de medida se refiere, pues esta exige realizar diferentes ensayos en donde se abarque la totalidad de los rangos nominales y en la Universidad no se cuenta con equipos y cargas que permitan realizarlos.

Page 87: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

77

4.2.1.4. Pruebas de envío de datos a la tarjeta de comunicaciones.

Como el objetivo principal del proyecto es adquirir y procesar las variables de interés para que puedan ser registradas por el prototipo registrador en una base de datos, se deben realizar las pruebas de envío de datos hacia la tarjeta de comunicaciones por medio de la interfaz UART. Como la tarjeta de comunicaciones se encuentra en proceso de elaboración, se simula la comunicación con un dispositivo que maneja este protocolo y se programa con los parámetros de programación que tendrá la tarjeta de comunicaciones. Para ello se hizo uso de una tarjeta Delfino F28335 que recibe los datos.

Se habilito la recepción de datos en paquetes de 8 bits con un bit de parada y sin bit de paridad, la velocidad de trasmisión se estableció en 115200 baudios. Además, como el prototipo registrador sigue un modelo jerárquico para los diferentes módulos que lo compondrán, se configura la tarjeta Delfino para que sea quien conceda la orden de inicio de procesamiento por medio del envío de los parámetros del sistema bajo estudio, de esta manera, la tarjeta implementada ajusta los rangos, número de canales y factores de escalas que deben ser valorados.

Los resultados se obtuvieron mediante la transmisión de 60 matrices de 1320 datos adquiridos en las pruebas del motor trifásico conectado en delta durante dos horas. Una vez el equipo receptor envía la configuración inicial del sistema bajo estudio, se empiezan a transmitir los datos cada dos minutos. La Tabla 4.1 presenta el resultado del envío de parámetros de estado estable y distorsión armónica almacenados de manera sucesiva en intervalos de tiempo de 3 segundos.

Tabla 4.1. Resultados prueba de comunicaciones.

Parámetro Resultado Envío de configuración inicial Exitosa

Número de paquetes enviados 10683 Número de transferencia realizadas 60

Número de paquetes recibidos 10683 Número de paquetes fallidos 0

Tiempo promedio entre transferencias 0,9 s

Como se mencionó anteriormente, antes de ser enviados los datos en coma flotante de 32 bits, son transformados a datos tipo char de 8 caracteres, donde un caracter equivale a un paquete de 8 bits, es por ello que teniendo en cuenta los caracteres de separación, de inicio y fin de trama, se envían un total de 10683 paquetes. Los resultados reflejan que la comunicación de los datos fue exitosa, al no presentarse pérdida de paquetes de datos, ni retardos adicionales en ninguna de las transferencias realizadas

4.3. LISTA DE ELEMENTOS Y COSTOS

El costo en pesos colombianos y en dólares de los elementos empleados en el desarrollo de la tarjeta de procesamiento y adquisición de distorsión armónica y parámetros de estado estable se presentan en la Tabla 4.2.

Page 88: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

78

Tabla 4.2. Costo de los elementos empleados en la tarjeta.

Cantidad Referencia Descripción Precio total [US$]

Precio total

[pesos]

21 OP07CDR Amplificador de precisión 16,38 49140

10 AD620ARZ Amplificador de instrumentación 90,7 272100

7 CRCW04023K65FKED Resistencia 3,65 K 1% Precisión 0,7 2100

7 CRCW04024K99FKED Resistencia 4,99 K 1% Precisión 0,7 2100

7 CRCW04021K27FKED Resistencia 1,27 K 1% Precisión 0,7 2100

7 CRCW04022K32FKED Resistencia 2,32K 1% Precisión 0,7 2100

14 C0603C103J5RACTU Capacitor 10 nF 5% Precisión 1,4 4200

7 GRM31C5C1H823JA01L Capacitor 82 nF 5% Precisión 5,04 15120

7 C0603C123J3GACTU Capacitor 12 nF 5% Precisión 2,8 8400

4 CRCW040211K5FKED Resistencia 11,5K 1% Precisión 0,4 1200

4 CRCW040230K1FKED Resistencia 30,1 K 1% Precisión 0,4 1200

3 CRCW04026K19FKED Resistencia 6,19 K 1% Precisión 0,3 900

3 CRCW040216K9FKED Resistencia 16,9 K 1% Precisión 0,3 900

3 CRCW040239K2FKED Resistencia 39,2 K 1% Precisión 0,3 900

3 CRCW040210M0FKED Resistencia 10M 1 % Precisión 0,3 900

1 5390213-1 Socket DIM 100 pines PCB 4,03 12090

3 SMLVT3V3 Diodos TVS 3,3V 2,07 6210

4 6736 Adaptadores BNC 21,6 64800

34 GRM3155R61E10KA87D Capacitores 100 nF 10% Precisión 3,4 10200

7 1N5817-B Diodo Schottky 3,01 9030

1 Regleta 40x2 500

1 Regleta 40x1 800

1 TMDSCNCD28335 Tarjeta Madre ControlCard F28335 71,65 214950

1 TMDSEMU100V2U-14T Emulador USB XDS100v2 JTAG 82,03 246090

Total 308,91 928.030

Page 89: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

79

4.4. PLACA DE ESPECIFICACIONES

Tabla 4.3. Características de la tarjeta implementada.

Especificaciones

Rango de entrada

Mínimo Nominal Máximo Tensión 10 Vrms 120Vrms 170 Vp

10 Vrms 270 Vrms 382 Vp

10 Vrms 480 Vrms 678 Vrms Corriente 0,5 Arms 20 Arms 28 Ap

0,5 Arms 40 Arms 56 Ap

0,5 Arms 200 Arms* 282 Ap

0,5 Arms 400 Arms 565 Ap

Máximo pico de tensión 3 kV (Sobretensiones transitorias únicamente )

Ancho de banda 2,7 kHz

Resolución 12 bits

Frecuencia de muestreo 7680 SPS

Frecuencia nominal 60 Hz

Número de canales Tensión 3

Corriente 4

Alimentación Analógica 5 VDC

Digital 3,3 VDC

Consumo de potencia 3 W

Medición de corriente DC No**

Medición de tensión DC Si Protocolo de comunicación SCI/ UART

Promediado Cada 3 segundos

Parámetros de medición

Tensión Vrms fase a fase, Vrms fase a neutro, V pico

Corriente Arms de fase, Arms de línea, A pico Armónicos de

tensión y corriente 1 al 40 para frecuencia fundamental 60 Hz

THD

Potencia

Potencia activa por fase, trifásica y fundamental

Potencia aparente por fase, trifásica y fundamental

Factor de potencia Coseno phi

*Para este rango el jumper seleccionable de la pinza debe estar en la escala de 1 mV/A **Para mediciones con la pinza I400s

Page 90: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

80

4.5. AVANCES CAPITULO 4

Las pruebas determinaron en primer lugar que los sensores seleccionados presentan un comportamiento lineal en el rango dinámico de interés para las señales de tensión y corriente.

Las pruebas a los circuitos de acondicionamiento tensión y corriente validaron los parámetros de diseño del capítulo 2. Gracias a la precisión del amplificador de instrumentación AD620, los errores en la etapa de amplificación y nivel de offset, fueron mínimos para cada uno de los rangos en los que se dividió las magnitudes de las señales de entrada. Las variaciones del nivel DC se atribuyen a la sensibilidad del trimmer, la cual no permitió que la calibración fuera lo más exacta posible, dichas variaciones no son tenidas en cuenta por el software implementado, el cual siempre sustrae un valor fijo a la señal muestreada por el conversor analógico digital. Ésta es una de las razones por la cual en la prueba de armónicos individuales los mayores errores se presentaron en la estimación de la componente DC, y en el valor pico en las pruebas de parámetros de estado estable. El filtro antialiasing implementado en los canales de tensión y corriente no presentó atenuación en el rango de frecuencias de 0 a 2,7 kHz, por lo que armónicos de orden 45 para señales de 60 Hz no serán atenuados.

Las pruebas de software permitieron determinar los alcances y limitaciones de la tarjeta implementada. La prueba de estimación de armónicos individuales permitió establecer hasta qué punto los resultados obtenidos se asemejan a los valorados por el equipo patrón. Así, el cálculo de armónicos hasta el orden 45 con valores RMS superiores al 0,025% de las señales de entrada, en condiciones nominales, será realizado con un error relativo inferior al 10% para tensión y 5% para corriente. A medida que se aleja de las condiciones nominales, los errores para armónicos con magnitudes muy pequeñas tienden a ser significativos. Teniendo como referencia los parámetros de estado estable estimados por el analizador, se puede afirmar que los resultados presentan un error inferior al 5% en la estimación de la mayoría de los parámetros bajo estudio.

La prueba de comunicación presentó un adecuado comportamiento para cada una de las transferencias de datos realizadas, el tiempo de envío fue aproximadamente el mismo entre transferencias, sin perdidas de paquetes de datos.

Las actividades desarrolladas en este capítulo permiten dar cumplimiento al cuarto y último objetivo específico del proyecto: “Validar el funcionamiento del sistema de medición

mediante pruebas de laboratorio, frente a un instrumento de referencia analizador de

calidad de energía convencional”.

Page 91: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

81

5. CONCLUSIONES Y TRABAJOS FUTUROS

5.1. CONLUSIONES

La flexibilidad que proporciona la tarjeta implementada permite al usuario seleccionar los rangos nominales que mejor se ajusten al sistema bajo estudio, los cuales cumplen con los requerimientos de la norma IEC 61000-4-7 para señales de entrada. La tarjeta empleada es capaz de adquirir señales de hasta 480 V-RMS y 40 A-RMS o 400A-RMS dependiendo del rango seleccionado de la pinza, sin embargo, ante la dificultad de encontrar cargas que manejen estas magnitudes, no se logró probar hasta sus valores nominales más altos.

La potencia de cálculo del Controlador Digital de Señales TMS320F28335 permitió realizar eficientemente y sin retardos, el procesamiento de hasta 7 canales analógicos simultánea y continuamente cada ciclo de la señal de 60 Hz. El controlador de acceso directo a memoria (DMA) facilitó que se realizara el muestreo de los canales analógicos, al tiempo que efectúa el procesamiento del bloque de muestras del periodo anterior. La capacidad de almacenamiento interno no permitió que se realizara el promediado de armónicos individuales, lo que hubiera permitido establecer más exactamente el error en la estimación de éste parámetro, así como registrar los resultados un periodo de tiempo mayor. La configuración modular de la tarjeta Delfino F28335 facilitó la integración del controlador a la tarjeta implementada, habiendo únicamente que instalar el socket que aloja la tarjeta de control que contiene el chip y la circuitería necesaria para su funcionamiento. Gracias a la conexión con el JTAG, se diseñó la tarjeta con la opción de depurar y programar el (DSC) a través de la interfaz gráfica en tiempo real “in circuit”, es decir, sin tener que extraer la tarjeta de control de la tarjeta implementada.

En comparación con el Fluke 435-II, que obtiene los parámetros de estudio de un modo distinto, con las pruebas realizadas se puede afirmar que la tarjeta posee una exactitud aceptable en la estimación de cada uno de los parámetros que calcula. La principal limitación del prototipo se centra en la falta de precisión que se tiene al momento de realizar mediciones de niveles de armónicos equivalentes a menos del 0,025% del valor RMS total de la señal bajo condiciones nominales, esto debido a la baja resolución del conversor, el cual los hace muy sensibles a los errores de cuantificación. Otra limitación que se tiene está dada al evaluar armónicos pares usando la ventana Hanning, pues adiciona una componente de continua que afecta todos los armónicos, especialmente los armónicos pares, por esta razón, esta ponderación no se menciona en las pruebas, ni se visualiza en los resultados.

Los errores que se presentaron en el cálculo del valor pico de tensión y corriente, son atribuidos principalmente por variaciones en el nivel de offset de la señal que se adiciona en la etapa de acondicionamiento, dichas desviaciones se atribuyen a la sensibilidad del trimmer que ajusta el valor de referencia DC negativa, la cual no permitió que la calibración fuera lo más exacta posible, afectando directamente la exactitud de los resultados. Es por

Page 92: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

82

ello que se requiere utilizar un elemento distinto con una menor sensibilidad, que ayude a regular el nivel de offset de las señales de entrada, y garantice que la referencia del conversor A/D sea fija.

5.2. TRABAJOS FUTUROS

Teniendo en cuenta las limitaciones de almacenamiento que impidieron que no se registraran los valores de armónicos individuales de tensión y corriente, se recomienda el uso de una memoria externa con la capacidad suficiente para almacenar los valores de los 40 armónicos de cada canal, realizar además la adquisición de 12 ciclos de las señales y el promediado de los resultados cada 10 minutos y dos horas como lo exige la normativa, esto, sin que se incurra en retardos adicionales que afecte la etapa de procesamiento.

Se recomienda remplazar los jumper utilizados en la selección de los rangos de tensión, corriente y tipo de configuración, por un mecanismo que detecte automáticamente los rangos que mejor se adapten a las tensiones y corriente de entrada, y con la ayuda de los puertos de propósito general del controlador, se seleccionen y habiliten las ganancias y tensiones DC de referencia negativas del rango correspondiente de tensión y corriente.

Teniendo presente los porcentajes de error máximo exigidos por los estándares IEC 61000-4-30 e IEC 61000-4-7 para equipos analizadores de calidad de potencia, se recomienda el uso de un conversor que maneje un número de bits superior al empleado en este proyecto. Para obtener mejores resultados sería conveniente trabajar con un conversor de 16 bits o más, sin embargo, en el mercado es difícil conseguir DSP’s o DSC’s con conversores digitales internos de resoluciones mayores a 12 bits, por lo que sería necesario emplear conversores externos de mayor resolución que aumentarían la complejidad en el diseño.

Para propósitos comerciales, se propone utilizar sensores de corriente diferentes a las pinzas I400S, esto con el fin de reducir considerablemente el costo de la tarjeta, ya que para una configuración trifásica en estrella se requieren 4 cuatro pinzas, siendo su costo unitario alto en relación con el costo de la tarjeta en sí. En el mercado el competidor directo para este tipo de sensores son los que emplean el efecto hall, los cuales presentan una gran variedad a un bajo precio.

Existen muchas características del F28335 que no fueron exploradas en este proyecto y que pueden llegar a contribuir al mejoramiento de la aplicación desarrollada. Una de ellas es el módulo de captura que puede ser empleado para determinar la frecuencia de las señales de entrada y adaptar la frecuencia de muestreo en función de ésta. Del mismo modo, la biblioteca de energías renovables presenta ejemplos de PLL’s digitales que pueden llegar a servir como mecanismo de sincronismo de la frecuencia de las señales de entrada con la frecuencia de muestreo. Es posible además, agregar más cálculos de parámetros de calidad de energía eléctrica como huecos y elevaciones de tensión, cálculo de los eventos, medida de la frecuencia industrial, entre otros. Por esta razón, se exhorta a que se siga utilizando este potente controlador en proyectos afines, de manera que se explote la totalidad de su versatilidad.

Page 93: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

83

Se requiere del usuario cierto tipo de conocimiento del sistema que se desea estudiar, de manera que se pueda aprovechar la totalidad del rango de conversión analógico digital, así como también, salvaguardar la seguridad de la tarjeta al no introducir señales que superen el rango de tensión y corriente seleccionados. De igual manera, se recomienda la verificación de que la relación de la pinza Fluke I400s se encuentre en el rango deseado.

Se sugiere añadir al prototipo registrador la posibilidad de ser alimentado por baterías que permitan que la tarjeta o el registrador, siga con su funcionamiento normal en caso de que exista ausencia momentánea en el suministro de energía eléctrica.

Se sugiere mejorar ostensiblemente la interfaz de visualización de datos desarrollada, añadiendo la posibilidad de visualización de las formas de onda de las señales adquiridas y los valores que se van almacenando y que posteriormente son enviados a la tarjeta de comunicaciones.

Page 94: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y
Page 95: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

85

6. BIBLIOGRAFIA Y REFERENCIAS

[1] F. Santamaría and C. Trujillo, “Desarrollo de un sistema de medición avanzado para registro de parámetros eléctricos de estado estable y de calidad de energía en redes de tensión menor a 1 kv.” Bogotá D.C., p. 48, 2014.

[2] H. Ortiz, “Evaluación de la Calidad de la Potencia Eléctrica (CPE) Ante Eventos de Corta Duración en un Sistema de Distribución,” Universidad Pontificia Bolivariana Escuela de Ingenierías Facultad Ingeniería Eléctrica, 2006.

[3] W. Rodriguez and M. Useche, “Diseño e implementación de un equipo analizador de calidad de energía eléctrica,” Universidad Pontificia Bolivariana Facultad de Ingenieria Electrónica Especialización en control e instrumentación industrial, 2010.

[4] IEEE, IEEE Std 1159TM-2009, IEEE Recommended Practice for Monitoring Electric Power Quality, vol. 2009, no. June. 2009.

[5] M. Marrugo and G. Hernández, “Evaluación de niveles de calidad de energía, diagnóstico y estudio de mitigación de armónicos en la subestación latería de gracetales s.a.,” Universidad de la Costa – c.u.c. Facultad de Ingeniería programa de Ingeniería Eléctrica Barranquilla - Colombia, 2012.

[6] IEEE, IEEE Std 519-1992 - IEEE Recommended Practices and Requirements for Harmonic Control in Electrical Power Systems, vol. 1992. 1993.

[7] ICONTEC, Norma Técnica Colombiana 5001 calidad de la potencia eléctrica. límites y metodología de evaluación en punto de conexión común. Bogotá D.C., 2008.

[8] H. E. Rojas Cubides, E. Rivas Trujillo, and A. A. Jaramillo Matta, “Aspectos técnicos y normativos para el monitoreo y medición de armónicos,” Ingeniería, vol. 19, no. 2, pp. 6–11, 2014.

[9] ICONTEC, Norma Técnica Colombiana 61000-4-30 Compatibilidad electromagnética (cem). parte 4-30: Técnicas de ensayo y de medida. métodos de medida de la calidad de potencia. Bogotá D.C., 2012.

[10] ICONTEC, NTC-IEC 61000-4-7 – Compatibilidad electromagnética (cem). parte 4-7: Técnica de ensayo y de medida. guía general relativa a las medidas de armónicos e interarmónicos, así como a los aparatos de medida, aplicable a las redes de suministro y a los aparatos. Bogotá D.C., 2013.

[11] IEEE, Definitions for the measurement of electric power quantities under sinusoidal, nonsinusoidal, balanced, or unbalanced conditions, IEEE Std 1459-2000, IEEE. 2000.

[12] “1. Aspectos generales de la tecnología DSP.” [Online]. Available: http://docplayer.es/10030816-1-aspectos-generales-de-la-tecnologia-dsp.html.

[13] FCEFyN, “Procesamiento Digital de Señales. Introducción a los DSP.Capítulo I.”

[14] E. Hernández and W. Rodriguez, “Adquisición de formas de onda de tensión y de corriente para un prototipo de contador digital trifásico,” Universidad Industrial de

Page 96: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

86

Santander Escuela de Ingenierías eléctrica, electrónica y telecomunicaciones Bucaramanga, 2009.

[15] J. Alvarado, “Procesamiento Digital de Señales,” Tecnologico de Costa Rica Escuela de Ingenierıa Electronica, 2011.

[16] FCEFyN, “Procesamiento Digital de Señales. Capítulo V. Herramientas de Diseño y Aritmetica de Punto Fijo,” Universidad Nacional de Cordoba.

[17] E. Palomiro, “Medición y analisis de vibraciones en el diagnostico de maquinas rotatorias,” 1997.

[18] Texas Instruments IncorporatedEuropean Customer Training Centre & University of Applied Sciences Zwickau, “Digital Signal ControllerTMS320F28335.Module 1: Introduction.”

[19] J. C. Obregón, “Diseño e implementacion de un sistema de deteccion de armonicos en la linea de alimentacion eléctrica,” Esculela Politecnica Nacional. Escuela de Ingenieria.Quito, 2009.

[20] C. Jos and C. Gonz, “Fundamentos del Análisis de Fourier,” 2003.

[21] J. Bobadilla, “La transformada de Fourier. Una visión pedagógica,” Estud. fonética Exp., vol. 10, pp. 41–74, 1999.

[22] FCEFyN, “Procesamiento Digital de Señales. Transformada de Fouier y el algoritmo FFT,” Universidad Nacional de Cordoba.

[23] E. Kuffel, W. S. Zaengl, and J. Kuffel, “High Voltage Engineering Fundamentals,” 2000.

[24] S. Ramírez, Redes de distribución de energía. 2009.

[25] FLUKE, “i400s Sonda amperimétrica AC.” [Online]. Available: https://www.grainger.com.mx/static/ft/20025608_TD.PDF.

[26] J. Florez and S. Herrera, “Diseño y construcción de un prototipo de un medidor de armónicos de corriente basado en un procesador de señales digitales (DSP),” Universidad Industrial de Santander Facultad de Ingenierias físico-mecánicas escuela de ingenierías eléctrica, electrónica y telecomunicaciones Bucaramanga, 2004.

[27] Analog Devices, “Ultralow Offset Voltage Operational Amplifier OP07,” 2011. [Online]. Available: http://www.analog.com/media/en/technical-documentation/data-sheets/OP07.pdf.

[28] M. Grubm, S. Member, B. Schweighofer, and H. Wegleiter, “Development of a Differential Voltage Probe for Measurements in Automotive Electric Drives,” vol. 64, no. 3, pp. 2335–2343, 2017.

[29] Analog Devices, “Low Cost Low Power Instrumentation Amplifier AD620,” 2011. [Online]. Available: http://www.analog.com/media/en/technical-documentation/data-sheets/AD620.pdf.

[30] Texas Instruments IncorporatedEuropean Customer Training Centre & University of Applied Sciences Zwickau, “Digital Signal ControllerTMS320F2833x. Module 2: Architecture.”

Page 97: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

87

[31] J. Balcells, Daura, and Pallás, Interferencias electromagnéticas en sistemas electrónicos. 1991.

[32] A. Rodriguez and L. Perdomo, “Prototipo para el registro de sobretensiones transitorias en redes de tensión menor a 1 kv,” Universidad Distrital Francisco José de Caldas Facultad de Ingeniería Proyecto Curricular de Ingeniería Eléctrica Bogotá, colombia, 2014.

[33] IPC, “IPC-2221 Generic Standard on Printed Board Design,” Packag. Bost. Mass, pp. 1–123, 1998.

[34] T. Instruments, “TMS320F2833x, TMS320F2823x Digital Signal Controllers (DSCs),” 2007. [Online]. Available: http://www.ti.com/lit/ds/symlink/tms320f28335.pdf.

[35] M. Flórez et al., “Efecto del deslizamiento de frecuencia en la estimación de magnitudes eléctricas mediante la DFT,” 2005.

[36] M. Ventosa and D. Laloux, “Sistemas Trifásicos,” 2003.

[37] C. Duarte, “Técnicas de procesamiento de señales para la monitorización de la calidad de la energía eléctrica,” Universidad Industrial de Santander Facultad de Ingenierías fisicomecanicas escuela de ingenierías eléctrica, electrónica y de telecomunicaciones maestría en potencia eléctrica Bucaramanga, 2004

Page 98: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y
Page 99: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

89

ANEXOS

A. FLUJOGRAMAS PARA LA ADQUISICION Y PROCESAMIENTO DE LAS SEÑALES

A.1. Configuración Conversor A/D

La Figura A.1 presenta el diagrama de flujo del proceso para realizar la conversión y posterior almacenamiento de las muestras. Cada vez que el secuenciador se resetee al finalizar una secuencia (cuando el número de conversiones definidas en el registro

han sido realizadas), regresará al primer canal de conversión, en este caso ADCINA0, a la espera de una señal de activación para volver a muestrear. Después que se ha definido cuantos canales se van a muestrear en los campos 00 a 07 para el secuenciador 1 y 08a 15 para el secuenciador 2, se debe cargar éste número al bit _ en el registro ; este valor también se carga en el campo interno _ , el cual irá restándose cada vez que se realiza una iteración. Posteriormente, la rutina de interrupción _ irá almacenando las 128 muestras en el vector por medio del puntero que toma el dato del registro de resultados .

Figura A. 1.Diagrama de flujo para almacenamiento de muestras.

Page 100: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

90

A.2. Configuración Conversor A/D

En la Figura A.2 se presenta el diagrama de flujo implementado para la configuración del módulo DMA del DSC, en donde los resultados del muestreo de 8 canales para el caso trifásico en configuración de 4 hilos son ubicados directamente en secciones de memoria RAM.

Figura A. 2.Diagrama de Flujo para el módulo DMA.

Inicialmente los registros de direcciones de la primera ubicación donde la información va a ser enviada y leída, _ _ / _ _ y _ / _ son cargados con las direcciones 0 00 00/0 00. La disponibilidad del espacio de memoria permite acomodar grupos de tres palabras contiguos, así, los primeros tres espacios de memoria serán para el canal 0, los siguientes tres espacios para el canal 1, y así sucesivamente. Se define entonces, / _ _ como 1/3, asi cada vez que se ha enviado un dato desde el conversor, se sumara 1 a la dirección contenida en _ , y cuando se vaya a alojar el dato en memoria, se sumará 3 a _ para ubicarse en la siguiente posición de memoria, esto se realizará para cada canal hasta cuando el contador / _ _ llega a cero, indicando que los datos de los 7 canales muestreados ya han sido ubicados en la RAM. La transferencia termina cuando el contador de _ llega a cero y se han enviado los tres grupos de palabras hasta llenar un vector de 1024 posiciones.

Page 101: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

91

A.3. Flujogramas para el cálculo de parámetros de estado estable y distorsión armónica

A.3.1. Distorsión armónica total

Para implementar por software este cálculo, se utilizó la función ( ) de la librería _ ℎ para operaciones matemáticas entre números de coma flotante, y asi determinar las raíces cuadradas de los operandos. El argumento ( ) es la variable de entrada representada por la sumatoria de los armónicos individuales elevados al cuadrado. El diagrama de flujo para el cálculo de distorsión armónica de tensión y corriente es representado en la Figura A.3

THD

Valor1=0,Fundamental, A, e=2,

Valor 2

¿e<N_datos?

e=e+1Valor1=valor1+(Ae)

2

Fundamental=A1

Fin

SI

NO

Figura A. 3.Diagrama de flujo para programa que determina el valor de Distorsión Armónica Total.

A.3.2. Valor RMS

El diagrama de flujo para el cálculo de los valores RMS de tensión y corriente se observa en la Figura A.4. A partir de los resultados de los armónicos de tensión y corriente almacenados el vector , cada vez que el programa se encuentra en un armónico determinado, el valor contenido es elevado al cuadrado y sumado al valor almacenado en la variable , hasta llegar a la posición 40, posteriormente se carga el valor de a la variable y se halla su raíz cuadrada.

Page 102: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

92

RMS

Xrms=0, Valorms, B, e=1, valor

¿e<N_datos?

e=e+1Xrms=Xrms+(Be)

2

Fin

SI

Valor=XrmsValorrms=√valor

NO

Figura A. 4.Diagrama de flujo para programa que determina el valor rms de la señal de tensión y corriente.

A.3.3. Valor Pico

El diseño de la estimación del valor pico se muestra en el diagrama de flujo de la Figura A.5. Su funcionamiento es bastante simple; se compara una a una para determinar el mayor valor de entre las 128 muestra que represente el valor máximo.

Valor_Pico

i=2,C, Vlr=C1, j

¿i<RFFT_Size?

i=i+1

Fin

SI

CI >vlr

NO

Vlr=Ci,j=i

SI

Vlr_Pico_canalx=vlr

Figura A. 5.Diagrama de Flujo para programa que determina el valor pico de la señal de tensión y corriente.

Page 103: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

93

A.3.4. Potencia Activa

El diagrama de flujo para el cálculo de la potencia activa se presenta en la figura F.1, los vectores , , se utilizan para almacenar los datos de tensión, corriente, ángulo de tensión y ángulo de corriente respectivamente. Mediante la iteración , se recorre cada una de las mismas posiciones de los vectores y se realizan las operaciones para los aportes de potencia activa de cada componente armónica en la variable , se actualiza entonces su valor hasta recorrer el número total de armónicos.

Se hace uso de la función 32 ( 32 ), la cual obtiene el coseno del argumento en coma flotante en radianes, por lo que se utiliza directamente los valores almacenados en el vector ℎ . De igual manera, la expresión (3.9) se modifica para calcular la potencia reactiva por fase, haciendo uso de la función 32 ( 32 ).

Pot_media

e=0, Pot=0, D, E, F, G

¿e< N_datos?

e=e+1Pot=Pot+(De*Ee *cos(Fe-G e))

Fin

SI

Valor=XrmsValorrms=√valor

NO

Figura A. 6.Diagrama de Flujo para programa que determina el valor de Potencia Activa.

A.3.4. Potencia Activa

El diagrama de flujo para la estimación de la potencia aparente se presenta en el diagrama de flujo de la figura A.7, donde y contienen los valores RMS de las señales de tensión y corriente.

Page 104: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

94

Pot_Aparente

Apar, a, b

Apar=a*b

Fin

Figura A. 7.Diagrama de Flujo para programa que determina el valor de Potencia Aparente.

A.3.4. Factor de potencia

El diagrama de flujo para el cálculo del factor de potencia por fase se presenta en la Figura A.8, los valores de potencia activa y potencia aparente se cargan en las variables e

y f respectivamente.

F_Potencia

Fpot, e, f

Fpot=e/f

Fin

Figura A. 8.Diagrama de Flujo para determinar el Factor de Potencia.

A.4. Flujogramas para la agregación de resultados

El diagrama de flujo para la agregación de 12 ciclos se presenta en la figura A.9. La primera agregación se realizó por medio de un contador de ventanas cntdr_ms, el cual aumenta su valor en una unidad a medida que se determina un parámetro de interés, este a su vez ocupa una posición dentro del vector _ de tamaño 50 ∗ 12 = 600 posiciones (para el caso de un sistema trifásico en estrella), por medio del puntero _ . Cada paquete de datos calculados representa los valores de los parámetros en cada ventana de medición de 200 ms, y los demás 11 paquetes de datos completan los 12 valores de un mismo parámetro en 12 ciclos de la señal. Cuando el contador llegue a 12 ventanas temporales el procesador calcula la media cuadrática de los 12 valores de los primeros 12 ciclos, o agregado de 12 ciclos. Cada vez que se finalice el almacenamiento de los 12 ciclos, el contador vuelve a su valor inicial y comienza de nuevo el conteo de las siguientes 12

Page 105: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

95

ventanas, donde los valores de la matriz son actualizados por los siguientes valores de las ventanas de medición.

Promedio_ms

v=0, t=0, valoprom

¿t< Promedio_Datos?

Valorprom=0t=t+1

Fin

SI

¿v<12?

SI

v=v+1valoprom=(valoprom+Mtx_ms[Prom_Datos_Trifasico*v+t])2

*ptr_s++=sqrt(valoprom/12)

NO

NO

Figura A. 9.Diagrama de flujo para el promedio de datos cada 200 ms.

El diagrama de flujo para la agregación de 180 ciclos se presenta en la figura A.10. Un nuevo contador _ se encarga de contabilizar cada vez que se realice una agregación de 12 ciclos, mientras se ocupa una posición del vector _ de 50 ∗ 15 = 750 posiciones por medio del puntero _ . Análogamente a como en la agregación de 12 ciclos, cada paquete de datos agregados representa los valores de los parámetros en cada ventana de medición de 3 s, y los demás 14 paquetes de datos completan los 15 valores de un mismo parámetro en 180 ciclos de la señal. El contador _ se detendrá cuando llega a 15 e inmediatamente el procesador calcula la media cuadrática de los valores de cada parámetro. Los resultados son almacenados en una nueva matriz _ , donde un

Page 106: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

96

nuevo contador _ se detendrá cuando se haya realizado 40 veces la agregación de 180 ciclos para cada parámetro, este tiempo es equivalente a dos minutos, tiempo en el que la tarjeta de comunicaciones solicita la información para el registro de los resultados. Una vez los datos son enviados, los contadores se reinician y los valores de las matrices son actualizados. La tarjeta de comunicaciones posteriormente agregará los resultados en periodos de 10 minutos y dos horas, dependiendo del tiempo evaluación del sistema bajo estudio.

Promedio_s

d=0, c=0, valopromed

¿d< Promedio_Datos?

valopromed=0d=d+1

Fin

¿c< 15?

c=c+1valopromed=(valorpromed+Mtx_s

[Prom_Datos_Trifasico*c+d])2

*ptr_min++=sqrt(valoprom/15)

Figura A. 10.Diagrama de flujo para el promedio de datos cada 3 segundos.

Page 107: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

97

A.4. Flujograma para la comunicación UART

El diagrama de flujo para establecer comunicación UART con la tarjeta de comunicaciones se muestra en la figura A.12. Previamente se ha habilitado la interrupción de recepción que se activa cuando un dato se encuentra listo para ser leído en el registro de transmisión ; cuando el contador _ ha llegado a 40, es indicativo que han transcurrido dos minutos y el programa debe transferir los resultados almacenados en el vector _ , el programa entra entonces en un lazo infinito y saldrá cuando se hayan enviado los 1320 datos. Para que los datos sean transmitidos, se espera a que la bandera _ _ sea verdadera y se haya habilitado la interrupción de recepción.

Posteriormente, como se muestra en el diagrama de flujo de la figura A.11, se ingresa a una rutina donde la función ( , “%7.3 ”, _ ), convierte los datos de tipo float a cadena de caracteres de 7 dígitos (4 enteros y 3 decimales), seguidos por un ‘; ’que define el comienzo y fin de la cadena, así como también sirve como indicador de que los datos son transmitidos en su totalidad. Posteriormente se repite el proceso para cada una de las 1320 posiciones de los datos promediados y la bandera _ _ es habilitada para salir del bucle al programa principal.

Descomponer_Dato

Conteo_Mtx_min=0Cadena[8]=’;’ p=0

¿p<8?

Fin

SI

Tam_cad=sprintf(dato,”%7.3f”, Mtx_minConteo_Mtx_min)

cadenap =datop

Conteo_Mtx_min+=1

NO

Figura A. 11.Conversión de dato float a cadenas de caracteres.

Page 108: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

98

Inicio

Configuración módulos inicialización

Break

SCI_TX_Flag=0SCI_RX_Flag=0

DMA

SI

FFTSTARTFLAG=1?

Procesamiento

Promedio

Cntdr_min=40?

Habilitar interrupción de recepción por UART

1

2

SI

SCI_TX_Flag=1?

Descomponer dato

1

Infinito ;;

sciRxIsr

SCI_RX_Flag=1?

Conteo_Mtx_min<(Promedio_Datos*40)+1?

SCI_TX_Flag=1

2

Break

DMA

SCI_TX_Flag=0SCI_RX_Flag=0

FFTSTARTFLAG=1

4

NO

3

NO

SI

3

NO

4

¿On_Paquete=1? ¿Conteo_Trama=7? ¿Off_Paquete=Promedio_Datos?

On_Paquete=On_Paquete+1

Transmision_SCIb(‘(’)Off_Paquete+=1Conteo_Trama=0

Transmision_SCIb(‘;’)Descomponer_Dato()

Off_Paquete=0On_Paquete=0

Transmision_SCIb(‘)’)Transmision_SCIb(‘;’)

SI

SI SI

NO

SI

NO

NO

Transmision_SCIb(cadena[Conteo_Trama])

Conteo_Trama+=1NO

Transmision_SCIb(‘%’)

Figura A. 12.Flujograma para transmisión de datos por comunicación UART.

Page 109: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

99

A.5. Flujograma del bloque de procesamiento

El diagrama de flujo para el cálculo de la FFT es presentando en la figura A.13.

Inicio

Declaración Variables y funciones Inicialización Módulos

DMA

FFTSTARTFLAG=1?

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

Tipo_SistemaRFFT_F32

RFFT_32_magRFFT_32_phase

RFFTMag1=RFFTMagBuff*Escala*FactorRFFTPha1=RFFTPhaBuff

Estado estable canal 1 punteros almacenamiento

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag2=RFFTMagBuff*Escala*FactorRFFTPha2=RFFTPhaBuff

Estado estable canal 2 punteros almacenamiento

PotenciasPunteros almacenamiento

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag1=RFFTMagBuff*Escala*FactorRFFTPha1=RFFTPhaBuff

Estado estable canal 1 punteros almacenamiento

DMAFFTSTARTFLAG=1

Trifasico Estrella

scibRxIsr

Configuracion_Modulo

Monofasico

1

1

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag1=RFFTMagBuff*Escala*FactorRFFTPha1=RFFTPhaBuff

Estado estable canal 1 punteros almacenamiento

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag6=RFFTMagBuff*Escala*FactorRFFTPha6=RFFTPhaBuff

Estado estable canal 6 Punteros almacenamiento

PotenciasPunteros Almacenamiento

Canal 2, Canal 3, Canal 4, Canal 5

Trifásico Triangulo

Promedio

Transferencia: Comunicación UART

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag7=RFFTMagBuff*Escala*FactorRFFTPha7=RFFTPhaBuff

Estado estable canal 7 Punteros almacenamiento

PotenciasPunteros almacenamiento

Canal 3, Canal 4, Canal 5, Canal 6

RFFTINPUTFLOAT=DMAINPUT*Conv-Nivel_DCRFFTin1Buff=RFFTINPUTFLOAT

RFFT_F32RFFT_32_mag

RFFT_32_phase

RFFTMag2=RFFTMagBuff*Escala*FactorRFFTPha2=RFFTPhaBuff

Estado estable canal 2 punteros almacenamiento

PotenciasPunteros almacenamiento

Figura A. 13. Diagrama de flujo para el procesamiento de las muestras.

Page 110: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

100

B. RESULTADOS PRUEBA CON CARGA EN ESTRELLA FASE B Y FASE C

En esta sección se presentan los resultados experimentales obtenidos de la prueba para la estimación de parámetros de estado estable y distorsión para la fase B y fase C.

Figura B. 1.Valor RMS de tensión para fase B. Tarjeta vs PQA.

Figura B. 2.Valor RMS de corriente para fase B. Tarjeta vs PQA.

Page 111: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

101

Figura B. 3.Valor THD de tensión y corriente para fase B. Tarjeta vs PQA.

Figura B. 4.Valores P. Activa, Reactiva y Aparente para fase B. Tarjeta vs PQA.

Page 112: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

102

Figura B. 5.Valores de F. de Potencia y Coseno Phi Fase B. Tarjeta vs PQA.

Figura B. 6.Valor RMS de tensión para fase C. Tarjeta vs PQA.

Page 113: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

103

Figura B. 7.Valor RMS de corriente para fase C. Tarjeta vs PQA.

Figura B. 8.Valor THD de tensión y corriente para fase C. Tarjeta vs PQA.

Page 114: IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN Y

104

Figura B. 9.Valores P. Activa, Reactiva y Aparente para fase C. Tarjeta vs PQA.

Figura B. 10.Valores de F. de Potencia y Coseno Phi Fase C. Tarjeta vs PQA.