guía n 3 terminada

28
Guía N°3 Punta lógica, multiplexor y desmultiplexor El informe presentara la realización de una punta lógica, multiplexor de 4 a 1, de multiplexor de 1 a 4, materiales utilizados para la creación de los circuitos, además, de su funcionamiento Jaime Gonzalez Sotelo Sebastian Barra 27/05/2015

Upload: jcodemjstyle1985

Post on 16-Sep-2015

228 views

Category:

Documents


2 download

DESCRIPTION

ELECTRONICA DIGITAL BASICA

TRANSCRIPT

  • Gua N3 Punta lgica, multiplexor y desmultiplexor El informe presentara la realizacin de una punta lgica, multiplexor de 4 a 1, de multiplexor de 1 a 4, materiales utilizados para la creacin de los circuitos, adems, de su funcionamiento Jaime Gonzalez Sotelo Sebastian Barra 27/05/2015

  • Contenido Objetivos ........................................................................................................................... 4 Introduccin ..................................................................................................................... 5

    Elementos utilizados para la creacin de circuitos .......................................................... 6 El Protoboard: ............................................................................................................ 6 El Multmetro: ............................................................................................................ 6 La Fuente de Alimentacin: ........................................................................................ 6 Led ............................................................................................................................. 6 La Resistencia: ........................................................................................................... 6 Compuertas lgicas:................................................................................................ 6

    Figura N1 .................................................................................................................... 7 Cables de conexin: ................................................................................................... 7

    PUNTA LOGICA .............................................................................................................. 8 Marco terico ................................................................................................................. 8 Figura N2 .................................................................................................................... 9

    Funcionamiento de la punta lgica ................................................................................... 10 Figura N4 .................................................................................................................. 10 Notas ........................................................................................................................... 11 Figura N5 .................................................................................................................. 11 Figura N6 .................................................................................................................. 11

    CODIFICADOR OCTAL A BINARIO............................................................................ 12 CODIFICADORES: ..................................................................................................... 12 CODIFICADOR .......................................................................................................... 13 TABLA DE VERDAD:................................................................................................ 14 Versin Proteus ............................................................................................................ 14

    MULTIPLEXOR 4X1:..................................................................................................... 15 Multiplexor de 4 entradas ......................................................................................... 15

    Figura13 Multiplexor 4 a 1 ...................................................................................... 15 La tabla de verdad se muestra en la siguiente tabla ....................................................... 15

    Figura N 14 Tabla de verdad de un multiplexor de cuatro entradas.......................... 15 Sumando lgicamente las ecuaciones anteriores ........................................................... 16

    Multiplexores ................................................................................................................... 16

  • La funcin de un multiplexor da lugar a diversas aplicaciones: ..................................... 17 Multiplexores. .......................................................................................................... 17 TABLA DE VERDAD ............................................................................................. 18

    Simulacin en proteus .................................................................................................. 19 Circuito a realizar ..................................................................................................... 19 El mux 4-1. .............................................................................................................. 19

    Figura N22 ................................................................................................................ 20 Figura N23 .................................................................. Error! Marcador no definido.

    MATERIAL: ................................................................................................................... 21 DEMULTIPLEXOR 1X4: ............................................................................................... 23

    DEMULTIPLEXORES: ............................................................................................... 23 Realizacin de circuito en simulador ................................................................................ 25

    Circuito a realizar ......................................................................................................... 25 Conclusin ....................................................................................................................... 27

    Codificador .................................................................................................................. 27 Decodificador .............................................................................................................. 27 Multiplexor .................................................................................................................. 27

    Bibliografa ...................................................................................................................... 28 Web grafa ....................................................................................................................... 28

  • Objetivos

    Para cada circuito debe realizar el diagrama esquemtico conveniente, realice las transformaciones

    que se requieren de acuerdo a los integrados de dos entradas y una salida para cada compuerta,

    si la compuerta tiene ms de dos entradas debe hacer la transformacin correspondiente, una vez

    comprobado su completo funcionamiento compruebe la tabla de verdad correspondiente, sea

    ordenado en su trabajo para tener pocos errores al probar el circuito

    Con respecto a la punta lgica debe conseguir una pluma para simular una punta de contacto, sino

    encontrar algn material que reemplace a la pluma para hacer contacto con los pines de los

    circuitos integrados y realizar las pruebas respectivas de su punta lgica, en el documento en Word

    de la punta lgica se encuentran varias sugerencias de puntas lgicas, se debe elegir algn

    modelo de los propuestos.

  • Introduccin En la ciencia, la tecnologa, la administracin y, de hecho, muchos otros campos de la actividad humana, constantemente se manejan cantidades. Estas se miden, registran, manipulan aritmticamente, observan o se utilizan en muchos sistemas fsicos. Existen bsicamente dos maneras de representar el valor numrico de las cantidades: la analgica y la digital. Las cantidades analgicas tienen la caracterstica de poder variar gradualmente sobre un intervalo continuo de valores como en el caso de un velocmetro. La posicin angular de la aguja representa el valor de la velocidad del automvil y sigue cualquier cambio que ocurra conforme el automvil acelere o frene. Mientras que las cantidades digitales varan en etapas discretas (paso a paso), como por ejemplo un reloj digital, el cual no cambia continuamente sino por etapas (uno por minuto o por segundo) a pesar que el tiempo transcurre en forma contina. Para manipular la informacin representada en forma digital se utilizan los sistemas digitales. Un sistema digital es una combinacin de dispositivos diseado para manipular informacin representada en forma digital, es decir, que slo puede tomar valores discretos. Esta informacin digital por lo general se representa en forma binaria y sta, a su vez, por medio de cualquier dispositivo que solamente tenga dos estados de operacin como por ejemplo un interruptor: abierto y cerrado. Esta caracterstica de los circuitos digitales (lgicos) permite utilizar el lgebra booleana como herramienta para el anlisis y diseo de sistemas digitales. El lgebra booleana tiene tres operaciones bsicas AND, OR y NOT llamadas tambin operaciones lgicas. Mediante diodos, transistores y resistencias, conectados entre s, se puede construir compuertas lgicas cuyas salidas son el resultado de una operacin lgica bsica. Partiendo de las compuertas lgicas AND, OR y NOT, se pueden disear circuitos cuyas salidas depende slo de sus entradas (circuitos combinatorios) y circuitos cuyas salidas dependen tanto de las entradas como del estado (conjunto de entradas pasadas) que se encuentre el circuito (circuitos secuenciales). Ejemplo de circuitos combinatorios se puede disear codificadores, decodificadores y multiplexores que permiten conmutar las seales digitales entre los diferentes componentes de una computadora con el procesador. Por otro lado, uniendo adecuadamente compuertas NAND o NOR se puede formar un FLIP-FLOP que es la unidad bsica de almacenamiento. Uniendo Flip-Flops y circuitos combinatorios se puede disear registros, contadores, unidad de memoria y otros componentes de apoyo del procesador. Para finalizar, todos los componentes mencionados unidos mediante circuitos lgicos, los cuales les proveern de las seales de control apropiadas para que stos transfieran y procesen la informacin, ya sea un cdigo de instruccin o un dato.

  • Elementos utilizados para la creacin de circuitos

    El Protoboard: Es un dispositivo que permite ensamblar circuitos electrnicos sin uso de soldadura. Hace una conexin rpida y fcil y es ideal para trabaja circuitos pequeos o de prueba. En cada orificio se puede alojar el terminal de un componente o un cable. Pero antes de trabajar con l, se deben conocer cules orificios estn interconectados. Generalmente las conexiones son por columnas y en las secciones laterales por filas. Con ayuda del tutor vamos a reconocer estas conexiones internas

    El Mult metro: Es un instrumento muy til en el laboratorio. Permite realizar mediciones de varias magnitudes de inters, como: el voltaje, la resistencia, la corriente, la capacitancia, la frecuencia, etc. tanto en seales continuas como alternas. Se debe tener mucho cuidado durante su uso, ya que dependiendo del tipo de magnitud que se quiere medir, debemos seleccionar la escala adecuada, la ubicacin de los terminales de medicin y la forma de medir (puede ser en serie o en paralelo con el elemento).

    La Fuente de Alimentacin: Es un dispositivo que convierte la tensin alterna, en una o varias tensiones, prcticamente continuas, que alimentan los distintos circuitos del aparato electrnico al que se conecta (ordenador, televisor, impresora, router, etc.) El diodo LED:

    Led Se refiere a un componente opto electrnico pasivo, pero que al ser atravesado por la corriente elctrica, emite luz.

    La Resistencia: Se le llama resistencia elctrica a la igualdad de oposicin que tienen los electrones para desplazarse a travs de un conductor. La unidad de resistencia en el Sistema Internacional es el ohmio, que se representa con la letra griega omega (), en honor al fsico alemn George Ohm, quien descubri el principio que ahora lleva su nombre.

    Compuertas lgicas: Una compuerta lgica es un dispositivo que nos permite obtener resultados, dependiendo de los valores de las seales que le ingresemos. Es necesario aclarar entonces que las compuertas lgicas se comunican entre s (incluidos los microprocesadores), usando el sistema BINARIO. Este consta de solo 2 indicadores 0 y 1 llamados BIT dado que en electrnica solo hay 2 valores equivalentes 0 = 0volt 1 = 5volt (conectado-desconectado). Es decir que cuando conectamos una compuerta a el negativo equivale a introducir un cero (0) y por el contrario si derivamos la entrada a 5v le estamos enviando un uno (1). Ahora para comprender como se comporta cada compuerta se debe ver su TABLA DE VERDAD. Esta nos muestra todas las combinaciones lgicas posibles y su resultado.

  • Figura N1

    Cables de conexin:

    Se utilizan principalmente para la conexin al distribuidor central y a los mdulos. Tambin aqu los contactos y los materiales de alta calidad proporcionan una conexin elctrica eficaz.

  • PUNTA LOGICA Marco terico

    La punta lgica se alimenta de la misma fuente de tensin del circuito bajo examen, conectndose el terminal cocodrilo (-) a la masa y el terminal cocodrilo (+) al positivo de 5 voltios. El funcionamiento es muy rudimentario y gira en torno a un transistor NPN que acta como conmutador y tres puertas inversoras. Hay solo tres posibles estados que puedan hacerse presentes en la punta (marcada como Pta.). Estado Bajo: En ese caso sobre la del transistor no habr tensin por lo que no conducir y har que en la entrada de la puerta inferior (terminal 5) haya un estado lgico bajo, presentando esta puerta el valor opuesto en su salida (estado alto). Esto impedir que el Led brille de color rojo. Volviendo a la punta (cuyo estado estaba en bajo), la entrada de la puerta superior izquierda (terminal 1) presentara tambin un estado lgico bajo, haciendo presente en su salida (terminal 2) un estado alto. Este estado hace que, a la salida de la segunda puerta superior (terminal 4) haya un estado bajo, lo cual provocar que el Led bicolor brille de color verde, indicando un estado BAJO. Estado Alto: Si en la punta se presenta un estado TTL alto la del transistor se polarizar y este componente entrar en conduccin por lo que en la entrada de la puerta inferior habr un estado lgico alto, lo que provocar un estado bajo a su salida y har que el Led ahora brille de rojo. Como en la punta hay un estado alto, a la salida de la primera puerta superior habr un estado bajo, haciendo que la salida de la segunda puerta sea alta. Esto impedir que el Led verde ilumine. Estado de alta impedancia (sin conexin): Si, en cambio, dejamos la punta sin conectar a ningn lado la del transistor no se polarizar, por lo que (siguiendo el caso de estado bajo) el Led rojo no brillar. Pero, como para las puerta de lgica TTL un estado de alta impedancia o desconexin es visto como un estado ALTO, la salida de la puerta superior izquierda ser BAJA, por lo que la salida de la segunda puerta ser alta y tampoco brillar el Led verde. Esto hace que, cuando la punta esta sin conexin el Led no brille de ningn color. Dada la sencillez del circuito se lo puede montar al aire, dentro de un tubo plstico pequeo y luego se lo puede rellenar con plstico fundido. Tambin se lo puede armar sobre un circuito impreso universal. Para los bornes positivo y negativo es recomendable utilizar pinzas de cocodrilo y, para la entrada de seal una punta de tester o similar.

  • Ya que para todo laboratorio electrnico necesitamos ciertos instrumentos de medicin, ahora podremos construir una punta lgica muy til para analizar un circuito digital... Una punta lgica es un instrumento que sirve para detectar niveles de altos y bajos (respuestas lgicas digitales de ceros y unos) o seales de pulso en cualquier parte de un circuito digital

    Figura N2

    Para dicha misin se puede utilizar un osciloscopio un multmetro o algn otro instrumento, pero el uso de una punta lgica es de sencillo manejo y de fcil transporte lo que lo hace ideal para esta tarea.

  • Funcionamiento de la punta lgica El circuito de la punta lgica funcionara dependiendo la entrada que tenga que pueden ser alto

    (1 lgico) o bajo (0 lgico).

    Figura N3

    Cuando la entrada de la punta lgica es alta los inversores A, B y C ponen a las resistencias R4 a R8 en un estado alto que es casi el mismo voltaje al que est conectado el nodo comn del display anulando el paso de corriente a travs de estas resistencias manteniendo apagados los led a los que estn conectados. Mientras el transistor Q1 se satura y conduce poniendo a la entrada de los inversores D y E un estado alto los cuales ponen a la resistencia R8 en estado bajo para que conduzca y encienda el led conectado formando un 1 en el display.

    Figura N4

  • Notas Cuando la punta lgica se conecta a una entrada pulsante, el en el display mostrara un cero y en uno de forma alternada por lo cual se formara una P en el display de nuestra punta lgica que indica que la entrada es un pulso. Si ponemos a un punto de alta impedancia el display de la punta lgica no mostrara nada (display apagado).

    Figura N5

    Cuando la punta lgica esta al aire el transistor no conduce y por su diseo interno tomara como si fuera un uno lgico manteniendo el display totalmente apagado. Nunca conecte la entrada de la punta lgica a un voltaje mayor a 5 voltios de alimentacin o ser el fin de nuestro indicador. El circuito integrado U1 7404 es uno solo este tiene 6 inversores interiormente de los cuales solo usamos 5 inversores. Diagrama de la punta lgica

    Figura N6

  • CODIFICADOR OCTAL A BINARIO CODIFICADORES:

    Un codificador se puede definir como un circuito combinatorio que tiene 2n (o menos) lneas de entrada y n lneas de salida. Las lneas de salida generan el cdigo binario correspondiente al valor de entrada. Un ejemplo el codificador de octal en binario, cuya tabla de verdad est representada en la tabla 1. Este tiene ocho entradas, una para cada uno de los dgitos octales, y tres salidas que generan el numero binario correspondiente. Se supone que solo una entrada tiene un valor de 1 en cualquier momento dado, en caso contrario el circuito no tiene significado. Para disear un codificador en base a la tabla 1, se ve que las salidas son funcin de las entradas Ds, por ejemplo, la salida A o es verdadera siempre y cuando se activen los dgitos octales D1, D 3, D 5 o D 7; aplicando condiciones semejantes a las otras dos salidas, se obtiene las siguientes funciones Booleanas de salida: A0 = D1 + D3 +D5 +D7 A1 = D 2+ D3 +D6 +D7 A 2= D 4+ D5 +D6 +D7 El codificador se puede implementar mediante tres compuertas OR; Este codificador tiene la limitacin de que solo una entrada puede estar activa en un momento dado, si dos entradas estn activas en forma simultnea, la salida produce una combinacin indefinida; Otra ambigedad en dicho codificador es que se genera una salida de puros ceros (0) cuando todas las entradas son 0, es la misma salida cuando se activa a 1 la entrada Do. Esta discrepancia se puede solucionar generando una salida ms, con el objeto de indicar cuando alguna de las entradas es activada (igual a 1).

    Figura N 7 Aplicaciones del Codificador La aplicacin ms vistosa de un codificador, es el teclado BCD (nmeros de 0 a 9), que es aplicado en los teclados de los telfonos. En la figura 2 se muestra el bloque de un codificador de Decimal a BCD, se ve que tiene cuatro salidas de datos (A, B, C y D) y una quinta salida E que se activa a nivel bajo e indica el momento en que alguna de las teclas es oprimida.

  • CODIFICADOR Un codificador es un dispositivo lgico que recibe informacin por su entrada y la traduce a un cdigo, el cual depende del tipo de codificador. Tienen una serie de patillas de entrada de las cuales slo una se activa, apareciendo el nmero de la patilla activada a la salida en el cdigo correspondiente: Aiken, decimal, BCD

    Figura N8

    Figura N9

  • TABLA DE VERDAD:

    Figura N10

    Figura N11

    Versin Proteus

    Figura N12

  • MULTIPLEXOR 4X1: Multiplexor de 4 entradas

    El multiplexor de 4 entradas es un multiplexor de 4 lneas a 1. La figura. Muestra el diagrama de bloques del multiplexor. Las entradas son I0, I1, I2 e I3 y la seleccin viene dada por las entradas S0 y S1. El valor de la salida Y depende de los valores lgicos presentes en las entradas de datos y la seleccin.

    Figura N13

    Figura13 Multiplexor 4 a 1

    La tabla de verdad se muestra en la siguiente tabla

    Por ejemplo, s I0=1, I1=1, I2=0, I3=1 y S1=1, S0=0 entonces Y=I2=0.

    Entrada de Seleccin de datos Entrada Seleccionada S1 S0 Y 0 0 I0 0 1 I1 1 0 I2 1 1 I3

    Figura N 14 Tabla de verdad de un multiplexor de cuatro entradas.

    El problema consiste en definir un conjunto de expresiones para construir el circuito lgico. La ecuacin en cada fila, se obtiene a partir del dato de entrada y la entrada de seleccin de datos:

    La salida es Y= I0, s S1=0 y S0=0. Entonces Y = I0S1S0.

    La salida es Y= I1, s S1=0 y S0=1. Entonces Y = I1S1S0.

    La salida es Y= I2, s S1=1 y S0=0. Entonces Y = I2S1S0.

    La salida es Y= I3, s S1=1 y S0=1. Entonces Y = I3S1S0.

  • Sumando lgicamente las ecuaciones anteriores:

    Y = I0S1S0 + I1S1S0 + I2S1S0 + I3S1S0

    En consecuencia, el circuito asociado se implementa en la figura 3.6.3.

    Figura N15 Circuito Lgico de un multiplexor 4 a 1

    Multiplexores Los multiplexores son circuitos combinacionales con varias entradas y una nica salida de datos, estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada hacia dicha salida.

    En el campo de la electrnica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y transmitirlas por un medio de transmisin compartido. Para ello lo que hace es dividir el medio de transmisin en mltiples canales, para que varios nodos puedan comunicarse al mismo tiempo.

    Una seal que est multiplexada debe demultiplexarse en el otro extremo.

    Figura N16

  • Estos circuitos combinacionales poseen lneas de entrada de datos, una lnea de salida y n entradas de seleccin. Las entradas de seleccin indican cul de estas lneas de entrada de datos es la que proporciona el valor a la lnea de salida. Cada combinacin de las entradas de seleccin corresponde a una entrada de datos, y la salida final del multiplexor corresponder al valor de dicha entrada seleccionada. Para identificar la entrada de seleccin ms significativa, por convenio esta siempre es la que est ms arriba (de mostrarse de forma vertical) o ms a la izquierda (en horizontal), independientemente de su etiqueta identificadora, a no ser que se especifique lo contrario.

    Tambin se pueden construir multiplexores con mayor nmero de entradas utilizando multiplexores de menos entradas, utilizando la composicin de multiplexores.

    En electrnica digital, es usado para el control de un flujo de informacin que equivale a un conmutador. En su forma ms bsica se compone de dos entradas de datos (A y B), una salida de datos y una entrada de control. Cuando la entrada de control se pone a 0 lgico, la seal de datos A es conectada a la salida; cuando la entrada de control se pone a 1 lgico, la seal de datos B es la que se conecta a la salida.

    El multiplexor es una aplicacin particular de los decodificadores, tal que existe una entrada de habilitacin (EN) por cada puerta AND y al final se hace un OR entre todas las salidas de las puertas AND.

    La funcin de un multiplexor da lugar a diversas aplicaciones:

    1. Selector de entradas. 2. Serializado: Convierte datos desde el formato paralelo al formato serie. 3. Transmisin multiplexada: Utilizando las mismas lneas de conexin, se transmiten

    diferentes datos de distinta procedencia. 4. Realizacin de funciones lgicas: Utilizando inversores y conectando a 0 o 1 las entradas

    segn interese, se consigue disear funciones complejas, de un modo ms compacto que con las tradicionales puertas lgicas.

    Multiplexores. Tambin llamado selector de datos. Es un circuito combinatorio que acepta 2N entradas de datos y permite slo a una de ellas alcanzar la salida. La seleccin de una de esas 2N entradas se hace a travs de N entradas, llamadas entradas de seleccin. En la figura se puede observar la tabla de funciones que muestra como la salida Z depende de las entradas Ii y de las entradas de seleccin Si. As tambin se muestra el respectivo diagrama lgico.

  • TABLA DE VERDAD

    Figura N17

    Figura N 18

    Figura N19

  • Simulacin en proteus

    Circuito a realizar

    Figura N20

    El mux 4-1.

    Se selecciona la salida dependiendo la combinacin:

    A=0 y B=0 la salida depende de D1. A=1 y B=0 la salida depende de D2. A=0 y B=1 la salida depende de D3. A=1 y B=1 la salida depende de D4.

    Simulacin virtual

  • Figura N21

    Para manipular A y B debe activarse o desactivarse el canal 8(B) y 7(A) de DIPSW para manipular D1 (9), D2 (10), D3 (11) y D4 (12) del DIPSW.

    Figura N22

    La imagen muestra que al estar A=0 y B=1 la salida depende de D3 (11) del DIPSW y como esta

    en uno la salida est en uno.

  • MATERIAL: 1- 7404 (compuerta NOT).

    2- 7411(AND de 3 entradas).

    1-4272(OR de 4 entradas).

    Entrada Salida 1 0 0 1

    Entrada A

    Entrada B

    Entrada C

    Salida Q

    H H H H L X X L X L X L X X L L

    La tabla de la verdad de cada inversor es muy sencilla, simplemente invertimos el valor de la entrada.

    Los inversores son muy usados en electrnica, gracias a ellos podemos adaptar circuitos que necesitan ser controlados por lgicas inversas. Tambin combinando varios uno detrs de otro podemos generar retardos pequeos, necesarios a veces para acceder a circuitos de forma segura.

    Este circuito integrado consta de 3 puertas AND de tres entradas cada una y con salida ttem pole. Tiene un uso muy extendido en numerosos circuitos electrnicos.

    La tabla de la verdad de cada puerta AND de 3 entradas del circuito integrado 7411 en la forma ms comn:

    Los siguientes son algunos ejemplos de circuitos integrados CMOS que contienen compuertas OR de varias entradas. Todos operan con tensiones de 3 a 15 V. En general, una compuerta OR de dos o ms entradas entrega un nivel bajo en su salida cuando todas sus entradas estn en bajo y uno alto cuando por lo menos una de ellas, o todas, estn en alto.

  • 1-DIPSW de 6.

    . Led

    La funcin del diodo emisor de luz es que al hacerle circular una corriente va a emitirla como luz

    Los dip switch son una serie de interruptores que se suelen utilizar para establecer un determinado estado lgico para la configuracin de un circuito

  • DEMULTIPLEXOR 1X4: DEMULTIPLEXORES: En electrnica digital, un desmultiplexor es un circuito combinacionales que tiene una entrada de informacin de datos d y n entradas de control que sirven para seleccionar una de las 2n salidas, por la que ha de salir el dato que presente en la entrada. Esto se consigue aplicando a las entradas de control la combinacin binaria correspondiente a la salida que se desea seleccionar. Por ejemplo, si queremos que la informacin que tenemos en la entrada d, salga por la salida S4, en la entrada de control se ha de poner, de acuerdo con el peso de la misma, el valor 100, que es el 4 en binario. En el campo de las telecomunicaciones el de multiplexor es un dispositivo que puede recibir a travs de un medio de transmisin compartido una seal compleja multiplexada y separar las distintas seales integrantes de la misma encaminndolas a las salidas correspondientes.

    La seal compleja puede ser tanto analgica como digital y estar multiplexada en cualquiera de las distintas formas posibles para cada una de ellas.

    El de multiplexor, es un circuito combinacionales que aunque la funcin bsica es la que hemos explicado, puede utilizarse en muchos casos como decodificador y adopta cualquiera de las funciones que un decodificador realiza.

    Una aplicacin muy prctica de los demultiplexores utilizados como decodificadores, si lo combinamos con una puerta NO-Y NAND, es la generacin de funciones lgicas, de modo, que si nos dan la funcin lgica F=S3(2,4,5,7), las salidas correspondientes a los unos lgicos se conectaran a la puerta NO-Y. En este caso la entrada de informacin se puede utilizar como entrada inhibidora si mantenemos a cero lgicos, y subindola a uno, cuando queremos inhibir la generacin de la funcin.

    Una de las funciones que realiza el decodificador hexadecimal como de multiplexor, es la funcin de conectar, a sendos contadores, C0 a C15, que reciben los impulsos de una entrada comn a todos. Cada uno posee una entrada de inhibicin que segn el estado en que se encuentra (0,1), permite o no que se realice el contaje de los impulsos. Cada entrada de inhibicin se conecta a una salida del de multiplexor.

    Figura N23

    Es un circuito que hace la operacin inversa de un multiplexor, es decir, toma una sola entrada de datos y la distribuye en una de 2N salidas, utilizando para ello N entradas de seleccin.

  • El ejemplo que se va a estudiar es un demultiplexor de 1 a 4 con entrada E de activacin. Este demultiplexor tiene una entrada I, dos entradas S de seleccin una entrada E y cuatro salidas Q con lo que se puede disear la siguiente tabla de verdad y su tabla resumen, TABLA DE VERDAD

    Figura N24

    Tabla de verdad y tabla resumen de un demultiplexores de 1 a 4 De la tabla de verdad se obtienen las expresiones lgicas:

    Q0=E I S1 S0 Q1=E I S1 S0 Q2=E I S1 S0 Q3=E I S1 S0

    Y con ellas se puede disear el diagrama lgico de la figura

    Figura N25

    Figura N26

  • Realizacin de circuito en simulador

    Circuito a realizar

    Figura N27

  • Figura N28

    Figura N29

  • Conclusin Codificador

    Comentarios: Como se puede notar en el diagrama lgico del circuito, este hace uso de la lgica negativa ya que las entradas se activan cuando estn a un nivel BAJO, de igual manera las salidas tambin se niegan por lo que para una lectura correcta del resultado que deseamos tenemos que utilizar tres compuertas inversoras a las salidas. De igual manera como se vio en laboratorios anteriores por ejemplo en el caso del circuito sumador, es posible hacer trabajar varios circuitos integrados en cascada para codificar una mayor cantidad de nmeros en el sistema octal. De manera general se en las codificaciones las cantidades de entradas y de salidas estn relacionadas con la siguiente expresin, donde N: nmero de entradas y n: nmero de salidas.

    Conclusiones: Los codificadores con prioridad son aquellos circuitos integrados que presentan la particularidad de que cuando varias entradas se activan al mismo tiempo, solo se va a codificar la entrada que tenga mayor valor numrico

    Decodificador

    Conclusiones: Como se puede notar en el diagrama lgico del circuito, este hace uso de la lgica negativa ya que las entradas se activan cuando estn a un nivel BAJO, de igual manera las salidas tambin se niegan por lo que para una lectura correcta del resultado que deseamos tenemos que utilizar inversores a las salidas.

    Conclusiones: La codificacin que realiza el integrado 74LS147 ser de aquella entrada que tenga el mayor valor numrico siempre en cuando se encuentre en nivel BAJO (L) sin tomar en cuenta que las dems entradas se encuentren en nivel ALTO (H) o BAJO (L).

    Multiplexor

    Comentarios: En vez de utilizar el circuito 74LS154, podemos utilizar el circuito 74LS42 que realiza adems de la funcin de decodificacin, la funcin de demultiplexacin en donde convierte la entrada que ingresa por la terminal D en un valor lgico que sale por la terminal que le corresponde a la entrada de seleccin que est sealada por el switch de control.

    Conclusiones: Las dos salidas (y -y ) que tiene el multiplexor 74LS151, cumplen la misma finalidad pero en situaciones opuestas, es decir cuando se quiere indicar valores lgicos 0 se usa y , mientras que cuando se desea indicar valores lgicos 1 se usa y

  • Bibliografa

    MORRIS MANO M. Arquitectura de Computadoras 3ra Edicin Prentice Hall - 1994. Mc CALLA, T.R Lgica Digital y Diseo de Computadoras Megabyte/ Noriega Editores - 1994. STALLING, William Organizacin y Arquitectura de Computadoras 4ta Edicin Prentice Hall - 1998. TANENBAUM, A. Organizacin de Computadoras, un enfoque Estructurado 3ra Edicin Prentice Hall - 1992. TOCCI, Ronald Sistemas Digitales, Principios y Aplicaciones 8va Edicin.

    Web grafa

    http://pdf.rincondelvago.com/arquitectura-de-computadoras_2.html http://medusa.unimet.edu.ve/sistemas/bpis03/lab0.htm http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/030801.htm http://www.profesormolina.com.ar/electronica/componentes/int/sist_comb.htm http://microcontroladores-ing-elec-rh.blogspot.mx/p/tutoriales.html