caracterizacion de flip flops.docx

21
LABORATORIO DE DISEÑO LÓGICO I PRACTICA #: 6 NOMBRE DE LA PRÁCTICA: “CARACTERIZACION DE FLIP FLOPS” OBJETIVOS: 1. Caracterizar un Flip-Flop de acuerdo con su tabla característica. 2. Caracterizar un Flip-Flop de acuerdo a su función característica. 3. Construir un Flip-Flop de un tipo a partir de uno de otro tipo. 4. Representar Flip-Flops en VHDL. 5. Armar un contador binario de 4 bits. INTRODUCCIÓN TEÓRICA MATERIAL: Circuitos integrados: 2-74LS73, 1-74LS74. 4 LEDs. Tableta de conexiones. Alambre telefónico. Cables de conexiones de alimentación. Pinzas de punta, de corte y de pelar. Manual TTL data Book. Fuente de voltaje (Laboratory DC Power Supply Gwinstek GPS- 3303) Generador de funciones. INTRODUCCIÓN TEÓRICA. CIRCUITOS SECUENCIALES.

Upload: pedro-romero

Post on 25-Apr-2015

131 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: CARACTERIZACION DE FLIP FLOPS.docx

LABORATORIO DE DISEÑO LÓGICO I

PRACTICA #: 6

NOMBRE DE LA PRÁCTICA:

“CARACTERIZACION DE FLIP FLOPS”

OBJETIVOS:

1. Caracterizar un Flip-Flop de acuerdo con su tabla característica. 2. Caracterizar un Flip-Flop de acuerdo a su función característica. 3. Construir un Flip-Flop de un tipo a partir de uno de otro tipo. 4. Representar Flip-Flops en VHDL. 5. Armar un contador binario de 4 bits.

INTRODUCCIÓN TEÓRICA

MATERIAL:

Circuitos integrados: 2-74LS73, 1-74LS74. 4 LEDs. Tableta de conexiones. Alambre telefónico. Cables de conexiones de alimentación. Pinzas de punta, de corte y de pelar. Manual TTL data Book. Fuente de voltaje (Laboratory DC Power Supply Gwinstek GPS-3303) Generador de funciones.

INTRODUCCIÓN TEÓRICA.

CIRCUITOS SECUENCIALES.

En la figura no. 1 se muestra el diagrama a bloques de un circuito secuencial, el cual se forma de la interconexión de un circuito combinacional y unos elementos de almacenamiento. Los elementos de almacenamiento son circuitos capaces de almacenar información binaria. La información binaria almacenada en estos elementos define el estado del circuito secuencial en cualquier momento. El diagrama a bloques demuestra que las salidas de un circuito secuencial son funciones no sólo de las entradas, sino también del estado presente de los elementos de almacenamiento. El

Page 2: CARACTERIZACION DE FLIP FLOPS.docx

siguiente estado de los elementos de almacenamiento también es una función de las entradas y del estado presente.

Figura no 1: Circuito Secuencial

Los circuitos secuenciales se sincronizan por medio de dispositivos de sincronía, llamado generador de reloj, que produce un tren periódico de pulsos de reloj, tal y como se muestra en la figura no. 2.

Figura no. 2: Pulso de Reloj

Los circuitos secuenciales se clasifican en:

Circuitos Asíncronos: Depende de las entradas en cualquier instante y el orden en el tiempo del cambio de las entradas.

Circuitos Síncronos: Se define por el conocimiento de sus señales en instantes discretos de tiempo.

La sincronización de los circuitos secuenciales se puede realizar de varias maneras, tal y como se muestra en la figura no 3.

Figura no. 3: Tipos de Sincronización de Circuitos Secuenciales

FLIP-FLOPS.

Page 3: CARACTERIZACION DE FLIP FLOPS.docx

Algunos elementos de almacenamiento son conocidos como Flip-flops. Los Flip-flops son celdas binarias capaces de almacenar un bit de información. En la figura no. 4 se muestra el diagrama a bloques de un Flip-flop.

Figura no. 4: Diagrama a bloques de un Flip-Flop.

Existe distintos tipos de Flip-flops, en la figura no. 5 se muestra las características de los principales tipos de Flip-flops.

DESARROLLO TEORICO.

1. Obtener la tabla característica y diagrama de conexiones de los Flip-Flops contenidos en los circuitos integrados 7473 y 7474. Explicar con tus palabras toda la información que te proporciona la tabla de 7473 y 7474.

Tabla número 1 muestra la característica de funciones (74LS73)

Donde: H = Nivel lógico alto (HIGH Logic Level) L = Nivel lógico bajo (LOW Logic Level) X = Ether LOW or HIGH Logic Level ↓ = Negativo que funciona al margen del pulso. Q0 = El nivel lógico de salida después de Indicar las condiciones de entrada Toggle = Complemento

Diagrama de conexiones

Entradas Salidas

CLR CLK J K Q Q´

L X X X L H

H ↓ L L Q0 Q0´

H ↓ H L H L

H ↓ L H L H

H ↓ H H Toggle

H H X X Q0 Q0´

Page 4: CARACTERIZACION DE FLIP FLOPS.docx

Las salidas de las dos compuertas AND permanecen en cero mientras el pulso del reloj (abreviado es CLK) sea 0, independientemente de los valores de entrada J y K se permite llegar al flip-flop básico. El estado de puesta a uno se logra con J = 1, K = 0 y CLK = 1. Para cambiar el estado de puesta a cero (o borrado) las entradas deben ser J = 0, K = 1 y C L K = 1. Con J = 1 y K = 1, la ocurrencia de los pulsos de reloj causará que ambas salidas vayan momentáneamente a 0. Cuando quite el pulso, el estado del flip-flop será indeterminado, es decir, podría resultar cualquier estado, dependiendo de si la entrada de puesta a uno o la de puesta a cero del flip-flop básico, permanezca el mayor tiempo, antes de la transición a 0 al final del pulso, cuando J y K sean 1, el pulso de reloj se transmite a través de una compuerta AND solamente; aquella cuya entrada se conecta a la salida del flip-flop la cual es al presente igual a 1. Así, si Q = 1, la salida de la compuerta AND superior se convertirá en 1 una vez que se aplique un pulso de reloj y el flip-flop se ponga a cero. Si Q´ = 1 la salida de la compuerta AND se convierte en 1 y el flip-flop se pone a uno. En cualquier caso, el estado de salida del flip-flop se complementa.

Tabla número 2 muestra la característica de funciones (74LS74)

Donde: H = Nivel lógico alto (HIGH Logic Level) L = Nivel lógico bajo (LOW Logic Level) X = Ether LOW or HIGH

Logic level ↑ = Transición positivo activa Q0 = El nivel lógico de salida después de indicar las condiciones de entrada.

Diagrama de conexiones

Entradas Salidas

PR CLR CLK D Q Q´

L H X X H L

H L X X L H

L L X X H (Note 1) H (Note 1)

H H ↑ H H L

H H ↑ L L H

H H L X Q0 Q0´

Page 5: CARACTERIZACION DE FLIP FLOPS.docx

El flip-flop D es una ligera modificación del flip-flop JK. Un flip-flop JK se convierte a un flip-flop D insertando un inversor entre J y K y asignando el símbolo D a la entrada única. La entrada D se muestra durante la ocurrencia de una transición de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0.

2. Obtener un Flip-Flop D a partir de un Flip_flop JK.

Como se menciono anteriormente, el flip-flop tipo D recibe su nombre por la habilidad de transmitir "datos" a un flip-flop. Es básicamente un flip-flop JK con un inversor en alguna de sus entradas en este caso en J. El inversor agregado reduce el número de entradas de dos a uno. Este tipo de flip-flop se llama algunas veces bloqueador D con compuertas o flip-flop de bloqueo. La entrada CLK se le da a menudo la designación variable G (de gate) para indicar que esta entrada esta habilita el flip-flop de bloqueo para hacer posible que los datos entren al mismo. Las figuras siguientes muestran el funcionamiento interno de los Flip-Flop´s.

Fig. 5 Diagrama flip-flop JK

Fig. 6 Diagrama flip-flop D

Page 6: CARACTERIZACION DE FLIP FLOPS.docx

3. Obtener un Flip-Flop T a partir de un Flip_flop JK.

El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada única designada por T como se indica en las siguientes figuras. El flip-flop T, por lo tanto, tiene sólo dos condiciones. Cuando T = 0 (J = K = 0) una transición de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transición de reloj complementa el estado del flip-flop.

Fig.7 Flip-Flop J-K

Fig. 8 Flip-Flop T

4. Utilizando Flip-Flops 7473, armar un contador binario de 4 bits. Dibuje las conexiones que se deben hacer en el circuito de la figura no. 6.

Al ser el contador de 4 bits entonces obtendremos 16 diferentes combinaciones y como el flip flop que se va a utilizar es un JK entonces obtendremos 8 funciones, las cuales se observan en la tabla de verdad.

Page 7: CARACTERIZACION DE FLIP FLOPS.docx

A través de la siguiente tabla podemos observar las salidas JA, KA, JB, KB, JC, KC, JD y KD, y al emplear los mapas da Karnaugh llegamos a las siguientes funciones:

JA = BCDKA = BCD

Entonces ya analizada la tabla de verdad a través de los mapas, procedemos a realizar el circuito con Flip-Flop´s “JK” y queda como sigue:

JB = CDKB = CD

JC = DKC = D

JD = 1KD = 1

Cabe notar que el Flip-Flop es “Síncrono” por que del mismo sistema se puede observar que lleva la forma de cascada ascendente, partiendo de 0 (cero) y llevando las 15 combinaciones posibles a cabo.

Page 8: CARACTERIZACION DE FLIP FLOPS.docx

5. Empleando la ecuación característica, diseñe en VHDL un Flip-Flop JK.

entity ff_jk is Port ( j : in bit; k : in bit; clk : in bit; q : inout bit; qn : inout bit);end ff_jk;

architecture ff_jk_arch of ff_jk isbegin

process (clk)begin

if clk'event and clk = '1' thenq <= (j and not q) or (not k and q);qn <= not(j and not q) or (not k and q);

end if;end process;

end ff_jk_arch;

Las funciones q y qn fueron obtenidas de la siguiente tabla, debemos mencionar que en este caso se utilizaron tipos de datos de entrada y salidas (inout), para q y qn.

Page 9: CARACTERIZACION DE FLIP FLOPS.docx

DESARROLLO EXPERIMENTAL.

1. Comprobar experimentalmente el funcionamiento de los Flip-Flops 7473 y 7474, de acuerdo con su tabla. Dibuje las observaciones hechas cuando J = K = 1 y Freloj = 10 Hz.

Cuando tenemos el caso en el que J = K = 1 entonces tenemos que el Flip-Flop se complementa.

Cuando J = K = 1; en el Flip-Flop se comporta sin cambio alguno al mantener el estado Q.

Fig. 8 Fig. 9

Page 10: CARACTERIZACION DE FLIP FLOPS.docx

2. Comprobar el funcionamiento del Flip-Flop tipo D.

Al comprobar el funcionamiento del Flip-Flop tipo “D” su funcionamiento fue el siguiente; cuando D = 1, la salida del Flip-Flop se va al estado uno, pero si D = 0 entonces la salida se va al estado cero. En consecuencia podemos notar que D = Q.

Fig. 9 tabla característica del Flip-Flop tipo D

Es importante hacer notar que este Flip-Flip tipo D está elaborado con el Flip-Flip tipo JK el cual tiene como función evitar la indeterminación Q´= Q el cual en una semejante barbaridad (en palabras del profesor) debido a que no se cumple con algebra de Boole, por eso se agrega una entrada D el cual tiene esa función.

En la siguiente imagen se observa el funcionamiento del flip-flop tipo D, en el cual enciende solo un led (en este caso el de color verde), es decir solo enciende un led a la vez ya que para que encienda el otro led tiene que cambiar el estado del primer led.

Fig. 10 El funcionamiento del flip-flop tipo D compuesto con los circuitos integrados 74LS73 y 74LS74.

Page 11: CARACTERIZACION DE FLIP FLOPS.docx

En la siguiente imagen se observa el mismo circuito (flip-flop tipo D) en funcionamiento pero ahora enciende el led de color rojo, es decir el segundo estado adquiere el estado que tenía el primero, por lo tanto este led se enciende.

Fig. 11 Se observa el funcionamiento del flip-flop tipo D en su segundo estado.

Para poder desarrollar el circuito del flip-flop tipo D, fue necesario desarrollas su diagrama de cableado que se presenta.

Page 12: CARACTERIZACION DE FLIP FLOPS.docx

3. Comprobar el funcionamiento del Flip-Flop tipo T.

Como ya lo vimos anteriormente y al corroborarlo en la práctica de laboratorio, el Flip-Flop tipo “T” funciona como sigue; cuando T = 0 una transición de reloj no cambia el estado del Flip-Flop, y cuando T = 1 la transición de reloj complementa el estado del mismo.

Fig. 12 Tabla característica del Flip-Flop T

En la siguiente imagen se observa el Flip-Flop tipo T, el cual fue fundamental en la elaboración del contador que más adelante se especificará, se observa que su ecuación característica es similar a la de una OR-Exclusiva.

Diagrama de cableado flip-flop tipo T

Page 13: CARACTERIZACION DE FLIP FLOPS.docx

Fig12.- Se observa el flip-flop tipo T.

4. Comprobar el funcionamiento del contador binario.

En el contador binario se utilizó como ya sabemos Flip-Flop´s tipo J-K, y para el funcionamiento del mismo utilizamos dentro de este dispositivo la función Preset (clear), la cual describiremos a continuación dentro de nuestro contador. El contador al ser de cuatro bits nos forma 16 combinaciones mostrándonos del 0 al 15 los números binarios. Cuando inicializamos el conteo del circuito empieza a hacer el conteo en forma ascendente desde el “0000” hasta el “1111”, teniendo la siguiente secuencia en nuestros led´s, aquí es donde entra la función del PRESET. Esta función dentro del problema que nos ocupa lo que hace es iniciar el conteo y también cumple con limpiar el mismo y dejarlo en ceros, es decir, si nuestro conteo se quedó en el número “0100” (4 en decimal) al poner a PRESET en cero lógico la cuenta se limpia y al activarlo nuevamente (uno lógico) comienza desde “0001” (1 en decimal). A continuación se muestra este mismo ejemplo en forma gráfica en la siguiente figura:

Donde, “A”, “B”, “C” y “D” son los led`s en nuestra tableta de conexiones.

Page 14: CARACTERIZACION DE FLIP FLOPS.docx

Para poder desarrollar el circuito fue necesario el uso del diagrama de cableado que se muestra a continuación:

En la siguiente imagen se observa el contador binario, donde el orden de significancia es de izquierda a derecha “A”,”B”,”C”,”D”, es decir cuando el contador marque 1 se encenderá el led rojo que aparece a la derecha, y así sucesivamente, en este caso se observa el numero 0, por lo tanto todos los leds están apagados.

Page 15: CARACTERIZACION DE FLIP FLOPS.docx

Fig. 13 Se observa el contador binario el cual muestra el número 0.

5. Implementar en VHDL el comportamiento del Flip-Flop JK

Diseño del flip-flop JK

entity ff_jk is Port ( j, k, clk : in bit; q : inout bit; qn : inout bit);end ff_jk;

architecture ff_jk_arch of ff_jk isbegin

process (clk)begin

if clk'event and clk = '1' thenq <= (j and not q) or (not k and q);qn <= not(j and not q) or (not k and q);

end if;end process;

end ff_jk_arch;

Las ecuaciones que se utilizaron para desarrollar este programa en VHDL fueron tomadas de la ecuación característica y de su tabla característica del flip-flop, que se muestran a continuación:

Page 16: CARACTERIZACION DE FLIP FLOPS.docx

Fig. 15 Obtención del diagrama lógico de la función flip-flop

Fig. 14 implementación del circuito en el editor de VHDL

Page 17: CARACTERIZACION DE FLIP FLOPS.docx

CONCLUSIONES

En esta práctica conocimos a los flip-flops y su funcionamiento, en base a la información que se nos proporciono nos enteramos que habían distintos tipos de flips flops: Tipo D, Tipo T, Tipo JK y Tipo SR, notamos que los primeros 3 están formados básicamente por el tipo SR, pero la pregunta es, ¿Por qué hay varios tipos de flips-flops? Después de esta práctica podemos responder esta pregunta, y podemos decir de que cada flip-flop cumple una función especial, mejora o corrige algún error, por ejemplo en el flip-flop tipo SR ocurre una indeterminación cuando “S” Y “R” tienen el valor de “1” lógico y Q de 0 y 1, es decir que Q=Q ´lo cual es incorrecto porque el algebra de Boole dice lo contrario, para evitar esta indeterminación se creó el flip-flop tipo D, el cual lleva un inversor al inicio para que no ocurra esta situación.

También notamos que el flip-flop JK es universal debido a que forma parte de los flips-flops tipo D, T Y SR, y que el tipo T fue parte fundamental en el circuito del contador. Dentro de las aplicaciones de los flips-flops y gracias a las observaciones que hicimos nos dimos cuenta que los flips-flops están en la vida cotidiana desde las cosas más sencillas hasta las más complejas, como los relojes, las luces de navidad, las luces de las patrullas, etc.

Fig. 16 Simulación del flip flop JK