universidad nacional de ingenierÍacybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf ·...

89
UNIVERSIDAD NACIONAL DE INGENIERÍA FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA "ANÁLISIS Y DISEÑO DE UN INVERSOR DE BAJA POTENCIA USANDO TÉCNICA DE MODULACIÓN POR ANCHO DE PULSO" INFORME DE SUFICIENCIA PA OPTAR EL TITULO PROFESIONAL DE: INGENIERO ELECTRÓNICO PRESENTADO POR: ELIAS DUGALD RUIZ TUPAC YUPANQUI PROMOCIÓN 1994-11 LIMA-PERÚ 2005

Upload: doannguyet

Post on 03-Oct-2018

217 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

UNIVERSIDAD NACIONAL DE INGENIERÍA FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

"ANÁLISIS Y DISEÑO DE UN INVERSOR DE BAJA POTENCIA USANDO TÉCNICA DE MODULACIÓN

POR ANCHO DE PULSO"

INFORME DE SUFICIENCIA

PARA OPTAR EL TITULO PROFESIONAL DE:

INGENIERO ELECTRÓNICO

PRESENTADO POR:

ELIAS DUGALD RUIZ TUPAC YUPANQUI

PROMOCIÓN 1994-11

LIMA-PERÚ 2005

Page 2: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

A nús Padres

A nú Esposa

A nús hijos

Page 3: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ANÁLISIS Y DISEÑO DE UN INVERSOR DE BAJA POTENCIA USANDO TÉCNICA DE MODULACIÓN

POR ANCHO DE PULSO

Page 4: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

SUMARIO

El presente estudio trata sobre el diseño y la simulación de un inversor de baja

potencia para aplicaciones domesticas. La idea principal es generar una tensión

alterna de 220 voltios y 60 Hz partiendo de la tensión continua de bajo voltaje. Este

sistema inversor comprende los módulos siguientes: el generador senoidal, el

modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro

de salida y el transformador elevador de tensión.

El módulo oscilador genera una señal senoidal de 60 Hz, la cual es rectificada por

el rectificador de onda completa de este mismo módulo. Estas señales ingresan al

modulador PWM, el cual, genera dos trenes de pulsos de 20 KHz, desfasados 90º

uno del otro, con tiempos muertos que evita el disparo simultaneo de los

conmutadores. El módulo conmutador recibe las dos señales del módulo PWM,

constituyen éstas, las señales de disparo para el circuito conmutador de potencia. El

modulo conmutador de potencia consta de 4 MOSFET's en arreglo "H", y su

circuito de disparo, conmutando la tensión de continua de bajo voltaje. Éste módulo

tiene como carga el filtro y el transformador de voltaje, que a su salida entrega un

voltaje de 220 voltios AC con forma de onda senoidal y. 60.0 Hz, la que puede ser

empleada en aplicaciones domésticas. El filtro es usado para recuperar la armónica

fundamental. El circuito PWM es realimentado por el voltaje de salida, asegurando

que los 220 voltios permanezcan constantes para cualquier carga conectada al

circuito, dentro de los parámetros de diseño.

Page 5: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ÍNDICE

TABLA DE ILUSTRACIONES VII

PRÓLOGO 1

CAPÍTULO l.

DESCRIPCIÓN DEL ESTADO DEL ARTE 2

1.1. Principio del Inversor por Ancho de Pulsos PWM 2

1.2. Organización Básica de un PWM 3

1.3. Generador de Pulsos PWM Senoidal 4

1.4. Modulación PWM con Voltaje de Conmutación Bipolar 4

1.5. Modulación PWM con Voltaje de Conmutación Unipolar 6

1.6. Relaciones de Diseño del Modulador PWM 8

1.7. El Circuito PWM 9

1.8. Pruebas de Modulación PWM 11

CAPÍTULO 11.

DESCRIPCIÓN GENERAL DEL SISTEMA 14

2.1. Diagrama de Bloques del Sistema 14

2.2. Revisión. General del Sistema 14

2.3. Generador Senoidal con Oscilador de Cuarzo 18

2.4. Modulador PWM 25

2.5. Circuito Conmutador 28

2.6. El Módulo Filtro 29

2. 7. El Transformador Elevador de Voltaje 32

2.8. Realimentación 33

Page 6: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO 111.

ANÁLISIS Y DISEÑO DE LA ETAPA DE POTENCIA

3.1. El Circuito Amplificador PWM

CAPÍTULO IV.

ANÁLISIS Y DISEÑO DE LA ETAPA DE CONTROL

4.1. Circuito de Disparo

4.2. Circuito Generador de la Señal PWM

4.3. Características Internas del LM1524

4.4. Aplicaciones del LM1524

CAPÍTULO V.

RESULTADOS DE SIMULACIÓN

5.1. Diagrama de Bloques del Proceso de Simulación

5.2. Simulación del Generador Senoidal

5.3. Simulación del Modulador PWM

5.4. Simulación en el Circuito Conmutador

5.4. Simulación de la Salida del Inversor

CAPÍTULO VI.

EVALUACIÓN ECONÓMICA DEL PROYECTO

6.1. Costo de los Componentes

6.2. Costos de Diseño y Construcción

CAPÍTULO VII.

CONCLUSIONES

ANEXOS

ANEXO A: Circuito y Simulacion En PS CAD

ANEXO B: Simulación en Pspice

ANEXO C: Circuitos Electrónicos

BIBLIOGRAFÍA

VI

36

36

39

39

40

43

44

46

46

47

49

50

51

53

53

56

57

59

61

73

79

Page 7: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

1.1.

1.2.

1.3.

1.4.

1.5.

1.6.

1.7.

1.8.

1.9.

TABLA DE ILUSTRACIONES

Organización básica de un PWM.

Comparador con histéresis.

Diagrama del inversor monofásico onda completa.

Modulación PWM con voltaje de conmutación bipolar.

Modulación PWM con voltaje de conmutación unipolar.

Generador de pulsos PWM senoidal.

Generador senoidal PWM con carga RL.

Formación de la onda PULSE.

Salidas de las ondas V tri, V sin y la modulación PWM para mf = 21 y

ma= 0.8.

1.10. Salidas de las ondas Vtri, Vsin y la modulación PWM para mf = 100 y

ma= 0.8.

3

4

5

5

7

9

10

10

12

12

1.11. Circuito PWM conectado a una carga monofásica. 13

1.12. Simulación del circuito PWM conectado a una carga monofásica. 13

2.1. Diagrama de bloques del sistema inversor. 15

2.2. Inversor diseñado a nivel de componentes en el programa PS CAD. 17

2.3. Componentes del módulo oscilador senoidal 18

2.4. Circuito oscilador de cuarzo de 1.25MHz. 19

2.5. Divisor de frecuenta de 1.25MHz a 60Hz. 21

2.6. Filtro de 60Hz pasabajos Bessel de cuarto orden. 21

2. 7. Circuito eliminador del componente DC en la señal. 22

2.8. Rectificador de media onda. 23

2. 9. Rectificador de onda completa. 24

2.10. Componentes del modulador PWM. 26

2.11. Señales en el modulador PWM. 26

Page 8: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

2.12. Relación de armónicas para frecuencia de 20KHz.

2.13. Etapa de potencia.

2.14. Esquema general del sistema de disparo y de conmutación.

2.15. Filtro de salida configuración L generalizada.

2.16. Filtro para onda rectangular de frecuencia fija y ancho variable.

2.17. Diagrama de Bloques del modulo Realimentación.

2.18. Circuito de Realimentación.

3.1.

3.2.

4.1.

4.2.

4.3.

4.4.

4.5.

4.6.

5.1.

5.2.

5.3.

5.4.

5.6.

5.7.

Circuito amplificador de PWM.

Esquema del IRFZ44.

Circuito generador de pulsos de disparo.

Circuito modulador PWM básico.

Circuito Generador de PWM con tiempo muerto.

Diagrama de tiempos del circuito generador PWM con tiempo muerto

Salidas del modulador PWMtm y PWM 1m (Salidas A y B).

Diagrama interno del LMl 524.

Esquema de simulación.

Señales en la generación de onda senoidal de 60Hz.

Señal de salida del módulo generador senoidal con cristal.

Salida del modulo generador PWM.

Espectro de frecuencias de la salida del modulo generador PWM.

Simulación de la tensión de salida del inversor.

VIII

27

28

29

30

31

34

35

37

38

39

40

41

42

43

45

46

47

48

49

51

52

Page 9: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

PRÓLOGO

El presente estudio trata el problema del análisis y diseño de un inversor de baja

potencia usando la técnica Modulación por Ancho de Pulso (PWM). Este inversor

puede ser empleado en cualquier lugar para aplicaciones domésticas debido a su

versatilidad y portabilidad. Este trabajo comprende seis (6) capítulos.

El capítulo I se ocupa de una revisión general de la modulación PWM, además de

un diseño sencillo y las pruebas de un modulador PWM, a manera de ejemplo. El

análisis se realiza cuando a su salida se le conecta una carga RL.

El capítulo II describe cada uno de los módulos del inversor, empleando para

ello el diagrama de bloques de dicho sistema. Además se muestra el diseño de los

circuitos, con excepción del modulador PWM y conmutador de potencia, que,

debido a su importancia, se tratan en capítulos por separado.

El capítulo 111 desarrolla el análisis y diseño del sistema de potencia. Este

capítulo incluye el diseño de la lógica de disparo para los MOSFET's del ampli­

ficador de potencia, el cual posee configuración H, con el propósito de que pueda

conmutar sin dificultad entre dos niveles de señales.

El capítulo IV desarrolla el diseño del circuito de control del sistema de

generación de PWM. La salida de este circuito es la entrada de control del ampli­

ficador de potencia descrito en el capítulo 111.

El capítulo V muestra los resultados de la simulación del inversor diseñado.

Dichos resultados han sido obtenidos empleando los programas Oread Capture

versión 9 .1 - Pspice, y PS CAD versión 4.1.

El capítulo VI presenta la evaluación económica para la construcción del

inversor de baja potencia diseñado. Esta evaluación incluye el costo de los

materiales, el de construcción y el costo de diseño.

El capítulo VII expone las conclusiones de este estudio.

Page 10: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

1

ji

i

CAPÍTULO I

DESCRIPCIÓN DEL ESTADO DEL ARTE

1.1. Principio del Inversor por Ancho de Pulsos PWM

Para entender el principio de funcionanúento de un generador PWM (Pulse Wide

Modulation), debemos analizar previamente las señales que intervienen en el

generador. Una de las señales es del tipo senoidal y puede ser generada a partir de un

oscilador. La otra señal es del tipo triangular. Estas señales se comparan para generar

una onda cuadrada, de tal forma que la frecuencia de la señal triangular resulte por lo

menos nueve veces mayor que la señal fundamental Qa señal senoidal de 60 Hz).

La salida del modulador PWM se puede conectar a una carga R ó RL y a un

sistema monofásico compuesto de una carga RL en serie con una fuente de tensión

alterna (onda senoidal), con el propósito de analizar el comportanúento del módulo

PWM.

Por otro lado, algunas aplicaciones industriales requieren controlar el voltaje de

salida del inversor para observar las variaciones de voltaje de entrada ce (corriente

continua), regular el voltaje del inversor, y conseguir la relación voltios/ frecuencia

de control requerida. Existen varias técnicas para hacer variar la ganancia del

inversor (ver [7], [8]). El método mas eficaz de controlar dicha ganancia (y por

consiguiente, la salida de voltaje) es incorporando la modulación por ancho de

pulsos (PWM) dentro del control del inversor. Las técnicas de modulación

normalmente usadas son:

1) Modulación senoidal por ancho de pulso simple.

2) Modulación senoidal por ancho de pulso múltiple.

3) Modulación senoidal por ancho de pulso.

4) Modulación senoidal por ancho de pulso modificada.

5) Desplazanúento del control de fase.

Los sistemas de modulación se pueden clasificar en dos grupos: los

realimentados y los no realimentados. Dentro del grupo de los realimentados

t

1

Page 11: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

3

podemos citar a los sistemas de modulación lineal y no lineal. Dentro de los no

realimentados se encuentran los sistemas siguientes: de modulación senoidal, por

eliminación selectiva de armónicos, de modulación vectorial y óptimo. En este

estudio usaremos la modulación senoidal por ancho de pulso simple, se revisa

también las dos modalidades de este tipo de generación PWM, la modulación PWM

con voltaje de conmutación bipolar y la modulación PWM con voltaje de

conmutación bipolar.

1.2. Organización Básica de un PWM

El concepto de potencia en un sistema de control por modulación por ancho de

pulso, está constituido por tres partes que a continuación se detallan.

1) Un generador de rampa, operando generalmente a una frecuencia constante.

2) Un comparador, para detectar el momento en que el voltaje de la rampa ha

excedido el voltaje de la señal de control.

3) Un dispositivo electrónico que conmute la potencia de carga en el momento en

que el comparador detecte el punto crítico de la forma de onda de rampa.

En la Figura 1.1, el comparador consiste en un amplificador operacional, y el

interruptor electrónico es un transistor bipolar que opera en configuración emisor

común.

generador

rampa

comparador

Al

1-----

+ Vs

1

R4 i R3 D1

\A/v---;>t-�

..:±­-=-o

Figura 1.1: Organización básica de un PWM.

disp de carga

transistor de conmutacion

Page 12: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

4

1.3. Generador de Pulsos PWM Senoidal

La técnica para la generación de pulsos PWM senoidal consiste en generar un tren de pulsos en función de una secuencia de pulsos que tengan una amplitud diferente y una frecuencia que el usuario pueda seleccionar. La Figura 1.2 ilustra un comparador con histéresis, donde Ve es la amplitud de la onda senoidal de entrada, f, es la frecuencia fundamental, V, es la amplitud de la onda triangular y J, es la frecuencia de la portadora.

V ) Comparador con

e

t, � Histeresis

V )

/VV\ fs )

Figura 1.2: Comparador con histéresis.

1.4. Modulación PWM con Voltaje de Conmutación Bipolar

Aquí es usado el puente H de conmutadores; los interruptores en diagonal (TA+ , T

8_) y (TA_, T

8+ ) de los dos brazos en Figura 1.3 son conmutados como pares de interruptores 1 y 2 respectivamente. Con este tipo de conmutación PWM, la onda de salida del brazo A es generada, comparando el voltaje de control y la onda triangular como se muestra en la Figura 1..4 (a). La salida del brazo B del inversor es el negativo de la salida del brazo A, por ejemplo, cuando TA+ está conectado y v Ao es igual a (Vd I 2), T

8_ está también conectado y V 80 = - Vd I 2 . Por tanto,

y VBo (t) = -V Ao (t)

VO (t) = V Ao (t) - V Bo (t) = 2V Ao (t)

La forma de onda de v O

es mostrado en la Figura 1.4. La amplitud de la componente fundamental (rol ) se estable mediante:

Page 13: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

5

y

En la Figura 1.4 (b) se observa que el voltaje de salida v O

varía entre - Vd y V

d .

Esta es la razón por la cual este esquema es llamado PWM con voltaje bipolar.

'"

+

.. Vc1 l>,i • Ha, 2

i<• A

-

Vd o

" +

''u : '·'A(• - , .• ,.(.

+

VJ TA-

IJ,t- l)a-2 Ta-

Figura 1.3: Diagrama del inversor monofásico onda completa.

(ó)

Figura 1.4: Modulación PWM con voltaje de conmutación bipolar.

Page 14: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

6

1.5. Modulación PWM con Voltaje de Conmutación Unipolar

En el esquema PWM con voltaje unipolar, los interruptores de los dos brazos, no

son conmutados simultáneamente como en el esquema anterior. Aquí, los brazos A y

B del inversor puente completo, son controlados separadamente comparando la

onda triangular con V control y - V control respectivamente. Como se muestra en la Figura

1.5 (a), la comparación de v control con la onda triangular da como resultado las

siguientes señales de control para los interruptores de la pierna A:

V control > V tri,

y V control < V tri ,

Para controlar los interruptores del brazo B (- V control) es comparado con la misma

onda triangular, lo cual da lo siguiente:

(- V control)> Vtri,

y

(- V control)< V,ri,

Debido a la realimentación de los diodos en anti.paralelo con los interruptores,

los voltajes mencionados en las ecuaciones anteriores son independientes de la

dirección de la corriente de salida i0

Entonces se encuentra que hay cuatro combinaciones posibles de interruptores

con sus respectivos voltajes:

1) TA+, T8_ � on : VAN = Vd,

2) TA_,T8+ �on: VAN =0,

3) TA+• TB+ � on: VAN =Vd,

4) TA_,T8_ � on: VAN = O,

VBN = 0;

VBN =Vd;

VBN = Vd;

VBN = 0;

Vº= Vd

Vº =-Vd

V =Ü o

V =0 o

Se puede observar que cuando los interruptores supenores están cerrados, la

salida de voltaje es cero. La corriente de salida circula en un lazo a través de

( TA+, D 8_) ó ( DA+ , T8

+) dependiendo de la dirección de la corriente de salida.

Durante este intervalo, la corriente de entrada es cero. Una situación similar ocurre

cuando los interruptores inferiores están cerrados.

Page 15: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

.-.

(V,,>,.

1 U ·

0.8

0.6

0.4

02 ·

_,,. 'rltri 'rlcant10[

-71 cant10[

(a)

�n nL1nno íl íl íl íl íl íl [ 1, (6)

�íl íl íl íl íl íl D DDDDD [ L (e)

,, - - -

,,. ... - -

....

,,1, ,,, ..

1) ., ..

- v. ·J

(á)

o�---- n _ _¡_ ______ �,__- -LI -Af_l�l-1.-, _._f _ _, __ .i.____,,_ _V __ f 11 J f 1,.111! / 2mJ ·. ;lm_, · ""':

(2mr i) Ü!r1t,· • lJ ... • ... _ n <IZIIIQlt.1COS uc f J.

(e)

Figura 1.5: Modulación PWM con voltaje de conmutación unipolar.

7

Page 16: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

8

En este tipo de conmutación PWM, cuando una conmutación ocurre, el voltaje

de salida varia entre O y + Vd

ó entre O y - Vd

. Por esta razón, este tipo de esquema

PWM es llamado PWM con voltaje unipolar, como opuesto al bipolar (entre+ Vd y

Vd

) descrito anteriormente. Este esquema tiene la ventaja de duplicar efectivamente

la frecuencia de conmutación tanto como la frecuencia de los armónicos de salida.

La ventaja de duplicar la frecuencia de conmutación aparece en el espectro de

frecuencias del voltaje de salida, donde los armónicos más bajos (en el circuito

idealizado) aparecen como bandas laterales de dos veces la frecuencia de

conmutación. Es fácil entender esto si se escoge un índice de modulación m I par

( m I debe ser impar para un PWM bipolar) en un inversor monofásico. Los voltajes

v AN

y v BN son desfasados 180° de la frecuencia fundamental J; , con respecto al

otro. Por tanto, los componentes armónicos de la frecuencia de conmutación en

v AN

y v BN tienen la misma fase (</JAN - </JAN

= 1t .m 1 = O) debido a que las formas de

onda están desfasadas 1t radianes y m I es un número par. Esto resulta en la

cancelación de los componentes armónicos en el voltaje de salida V O

= VAN

- V BN .

Además, las bandas laterales de los armónicos del voltaje de salida desaparecen. En

forma similar, los otros armónicos dominantes de dos veces la frecuencia de

conmutación se cancelan, mientras que las bandas laterales no.

1.6. Relaciones de Diseño del Modulador PWM

Las relaciones a emplear en el diseño del generador PWM, a partir del simulador

Pspice, son las siguientes:

Índice de Modulación (m):

re ma =� I

en donde la amplitud máxima re se puede modificar a voluntad del usuario.

Relación de Fase (m¡ ):

para la cual se cumple la relación de diseño: Ís > 9 Ji.

Ganancia (\> 001):

í>aol = 2mª

De esta última relación podemos obtener la siguiente expresión para el índice de

modulación:

Page 17: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

i) m =�

ª E/2

Es necesario remarcar que la onda senoidal empleada debe ser de la forma:

1. 7. El Circuito PWM

9

La Figura 1.6 muestra un generador de pulsos PWM sinusoidal compuesto por: una

fuente de tensión controlada por tensión (con ganancia de 106), un limitador de (-10,

1 O) voltios, un bloque de ganancia de voltaje de 1.5, las entradas tensión triangular

(carry) V,ri y tensión de control V,;n· El modulador genera en la salida (ouf) pulsos de

+ 15 voltios de amplitud y ancho modulada.

La Figura 1. 7 muestra al generador senoidal PWM con una carga monofásica RL

(R=5n, L = 10mH). Vamos a determinar la ganancia de la fuente sabiendo que

E=300 V (DC). Para ello requerimos configurar la onda portadora PULSE. Este

tema se trata a continuación.

Formación de la Onda PULSE

La formación de la onda PULSE, es configurada en Pspice. Esta onda permite

generar la señal triangular V,ri de acuerdo a los parámetros de control que

intervienen para generar las señales. La Figura 1.8 muestra en detalle la formación

característica de la onda PULSE, donde PER=T es el período, PW=l µses el ancho

del pulso, TD=-T / 4 es el tiempo de retardo, TR=TF=T /2 es el tiempo de subida.

€'"out )

GEMERADOR DE PULSOS PWM SINUSOIDAL

Ganancia Limitador Tensión Controlada

..____,

9.9

10

o

Tensión control

V1

"'\...

J Tensión i o triangular o

Figura 1.6: Generador de pulsos PWM senoidal.

Page 18: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

R1 L1

2 10mH

MODULACION SENOIDAL (PV\IM) CON CARGA RL

R3 9.9

10

Figura 1. 7: Generador senoidal PWM con carga RL.

V 1

Función PULSE

- - - - - · a-·-----------,._

/i ,\ / 1 1 \

/ 1 \

// \\ < TO )(TR ')

( TF

PER

Figura 1.8: Formación de la onda PULSE.

10

Page 19: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

1.8. Pruebas de Modulación PWM

Primera Simulación

11

En esta simulación, programaremos las fuentes V,,; (VPULSE) y V,in de manera

que se genere una onda PWM que contenga una componente fundamental de

frecuencia de 560 HZ, y simularemos para 3 ciclos de la componente fundamental

de tensión cuando m1 = 21 y m0

= 0,8. El análisis de los resultados se realiza

empleando las relaciones de diseño definidas anteriormente.

En un primer caso calculamos la frecuencia de conmutación is- Tenemos como

datos fttri,ri = 15 V,f, = 60 Hz, y m1 = 21. Reemplazando valores en la ecuación is=

mi, obtenemos:

is= 21 X 50 = 1050 Hz

Con este valor verificamos que la frecuencia is> 9f,.

Empleando los valores del índice de modulación y la amplitud de la onda

triangular, podemos calcular la amplitud máxima de la onda senoidal como sigue:

La Figura 1.9 ilustra el resultado de la simulación de generación de la señal PWM.

En un segundo caso podemos calcular la frecuencia de conmutación empleando

la relación is = mf,. Con m1 = 100 y con el mismo índice de modulación del caso

anterior, obtenemos:

is = 100 X 50 = 5000 Hz

Luego, el periodo resulta T5 = 200 µs. Los resultados de este análisis se muestran en

la Figura 1.10.

Segunda Simulación

Para esta simulación, la salida del circuito de la Figura 1.6, se conecta a una

tensión de línea monofásica de V,;n = 11 O voltios de valor pico y 60 Hz, con ángulo

de fase cero (referencia cero). La fuente de tensión controlada representa un

inversor monofásico con una carga RL, donde la inductancia L = 1 O mH y la

resistencia R =0.5n (ver Figura 1.11)

Page 20: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

12

2UU , ------------------------------------------------------------------------·

lu·i!�1�·rr¡;� r1 : \1�1- ¡...1P1--timr � ¡11 -- 1 -� 11'111· D1 • 1 ' '1 1 1 T

1 1 ' 1 11 l f--: 1 • 1 ' ' 1 ' 1 /. 1

• 1 J -. · •

• • • 1/ U lJ LJ-,�c::rd-U ·: 1 1

-211U-'------------------------------------------------------------------------'

a U(U2:+) ó U(GAIH1:0UT) U(U1:+) 2UU-----------------------------------------------------------------------·

SEL>>:

f'odulo-;on S.10:[[í!V'' r,- , o

1Ü 1 1 -2UU-'-----------------,-----------------,-----------------T-----------------I

Is 511115 1 Ullls 151115 2 ""5

a U(L1:2.E1:!I) Ti111e

Figura 1.9: Salidas de las ondas V,,¡, V sin y la modulación PWM para mf

= 21 y m

.,=0.8.

2DU, ----------------------------------------------------------------------,

SEL»: L I , . -. r l i , � � , - -1 1 1

-2DU-'-----------------------------------------------------------------------J

a U(U1:+) � U(U2:+)2 DU 1

-------------------------------------------------------- --------------- ,

IIITTl-l� 1

1

1

1 1

-2DU+---------------------- ,----------------------,-----------------------i

Os 51115 101115 151115

a U(out) Ti111e

Figura 1.10: Salidas de las ondas V,ri, Vsin y la modulación PWM para mf

= 100 y m

.,=0.8.

En esta simulación, mostrada en la Figura 1.12, se nota la operac1on como

rectificador del circuito PWM, debido a que se le inyecta una corriente I hacia el

inversor. Esto influye en el desfase del ángulo respecto a la tensión de línea.

Page 21: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

13

GENERADOR PV\IM CONECTADO A LA TENSION DE LINEA MONOF ASICA

10 300

L1 o

0.5 10mH R3 9.9 V1

R1 0.1 l0

Figura 1.11: Circuito PWM conectado a una carga monofásica.

2UU 1

---------------------------------------------------------------------

1

f} Al ,A f'/\ 1\ 1\ �) A FA· �; 1\ 1\· �- A¡ I\ t\ A!V V v:V V V V V V V V·V V V V V V v. v v i1 1 -21U -'----------------------------------------------------------------------'a U(U1:+) , U(U2:+) U(GAIH1:0UT)

2HU: _,: · .. · .-, . _ . . _ _ _ . · .. · ··:: :

¡ ---------� ¡ �- ..r- . · · " · --�1 -----1 1

SEL»: -2uuu+----------------,-----------------r----------------,-----------------1

Us 5111s 101115 151l15 20ms

a U(U3:-) � U(L1:2.E1:4) Tili1e

Figura 1.12: Simulación del circuito PWM conectado a una carga monofásica .

Page 22: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO 11

DESCRIPCIÓN GENERAL DEL SISTEMA

2.1. Diagrama de Bloques del Sistema

La idea fundamental en el presente estudio es generar una tensión alterna de 220

voltios y 60 Hz partiendo, de la tensión continua de una batería de 12 voltios

continuos. Es decir, diseñar un inversor de baja potencia para aplicaciones

domésticas. La Figura 2.1 muestra el diagrama de bloques del inversor de baja

potencia. Podemos observar que los componentes de este inversor son:

1) Generador senoidal con �scilador de cuarzo.

2) Modulador PWM.

3) Circuito conmutador de potencia.

4) Fuente DC.

5) Filtro.

6) Transformador elevador de voltaje

7) Realimentación

2.2. Revisión General del Sistema

En esta sección se revisa el sistema rnversor a como la integración de los

módulos que lo componen para luego revisar el detalle de la implementación de cada

módulo con circuitos electrónicos. Para el estudio del Sistema en su conjunto, se usa

el programa de simulación PS CAD.

El modulo de generación de frecuencia senoidal se implementa con un VCO que

tiene como parámetro de entrada la frecuencia de oscilación la que es fijada a 60Hz.

Se genera una señal triangular de 20 KHz con el componente generador de onda; la

modulación PWM se consigue comparando la onda senoidal con la señal triangular

generada, esto se realiza con el componente de "generador de pulsos interpolados",

éste, además de la comparación de señales, genera el puso de disparo para los

dispositivos de conmutación. Para los dispositivos de conmutación se han usado

Page 23: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Generador Senoidal con oscilador de cuarzo

Fuente DC batería

Modulador PWM

Circuito Conmutador de Potencia

Filtro

Transformador elevador de Voltaje

Onda Senoidal

220V AC

Figura 2.1: Diagrama de bloques del sistema inversor.

:,·

¡ !

� i:·¡ ¡,

l·'. •' , .

r,

¡¡ I' ¡.:

(:

f.-'

¡j f;

15

Page 24: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

16

IGBT's, los que a su vez son controlados por el componente generador de pulsos

interpolador, se ha utilizado un condensador de 1 0,000uF en paralelo a la tensión

DC, a fin de disminuir el ruido eléctrico producido por los conmutadores. En la

etapa de filtro se utiliza un arreglo LC cuya inductancia es de SmH y una

capacitancia de 33 uF en la sección de diseño del filtro se revisará a detalle del filtro

empleado. El transformador elevador de voltaje es un transformador de 48 a 220V

de 0.SKV A. En la Figura 2.2 se muestra el inversor con todas sus etapas.

Haciendo un equivalente al diagrama de bloques mostrado en la Figura 2.1, el

generador de señal senoidal viene dado por el componente Oscilador Controlado

por Voltaje, que tiene como parámetro de entrada el voltaje de control, el que es

puesta al valor de 60, indicando que el oscilador genera una onda senoidal de 60Hz.

El módulo generador PWM, viene dado por un generador triangular y un

comparador. El generador triangular está constituido por el componente Generador

Triangular de Frecuencia Variable, el que es seteado al valor 2000, y -1 a 1, lo que

indica, que genera una señal triangular alterna de 20K.Hz y una amplitud de 1 KV

pico. El comparador es constituido por el arreglo de los componentes Generador de

Pulsos de Conmutación. Las dos señales, la senoidal y la triangular, son comparadas

y se genera los pulsos del disparo de los conmutadores con el mismo componente

Generador de Pulsos de Conmutación.

El conmutador de potencia viene dado por el arreglo en puente H constituido

por los IGBT's, y los diodos en antiparalelo. Los IGBT's son configurados para

representar sus características eléctricas, con una resistencia en ON de 0.01n y una

resistencia en OFF de 1Mn; voltajes directo e inverso de ruptura de 1x105 KV (que

para lo·s fines del presente informe se pueden considerar infinito), y una capacitancia

parásita de 1 µF; como son dispositivos reales de conmutación, se dispone de los

diodos en antiparalelo Este arreglo se comporta como un puente H, ya tratado

anteriormente.

El circuito de realimentación viene constituido por los componentes

Multiplicador, Unión Sumador/Restador y el Controlador Proporcional Integrador

(PI). El multiplicador, multiplica la señal senoidal de generador senoidal por un

factor de tal manera que se tiene un voltaje de magnitud comparable a la salida del

inversor, esta señal es comparada con la misma salida del inversor, y luego es pasada

por el Controlador PI , alimentando al modulo PWM.

Page 25: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Vsin

SI

Vcnt

Vcnt ON

H H

L L OFF OFF

VTri

S4

ON

H ON

L

OFF L

OFF

CP ...

Vref . :-1

: .

: ..

:..0.01

0.4 V

L F = 60.0 (Hz)

17

v�P ven, D +

1 .

Vsin

CP ...

Vrms (7)

·r -- . -... (7)

de Em 0.2 º&

o.�19687

15

Figura 2.2: Inversor diseñado a nivel de componentes en el programa PS CAD.

Page 26: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

18

Asimismo se dispone de un modulo para hallar el valor rms de la tensión de

salida del inversor, compuesto por el componente Valor Absoluto, Explorador de

Frecuencia (FFT) y el Multiplicador, lo que permite visualiza el voltaje rms

equivalente de la salida del inversor. Nótese que la señal de salida del Explorador de

Frecuencias es multiplicada por el factor 1.15 a fin de obtener el voltaje rms.

2.3. Generador Senoidal con Oscilador de Cuarzo

En necesario que la señal senoidal que ingrese al modulo PWM sea constante en

frecuencia; los circuitos osciladores semiconductores varían ligeramente su

frecuencia de oscilación, esto debido a causas como el aumento de la temperatura;

por lo que se usa un oscilador con cristal de cuarzo, cuya propiedad principal es la

estabilidad de la frecuencia de oscilación.

Por requerimiento de diseño, es necesario que la señal senoidal entre rectificada

al modulo PWM, por lo que también se trata en el presente trabajo, los circuitos

rectificadores.

El modulo generador senoidal consta de 4 partes, el oscilador de cuarzo, el

divisor de frecuencia, filtro pasabajos y el rectificador de onda completa. La Figura

2.3 muestra los componentes de este módulo.

Oscilador de Cuarzo

1 T Q

CLK

FlipFlopT

Divisor de Frecuencia

� s2 1 + 2z VI/o + wo2

Filtro Pasabajos 60Hz

N :I: o U)

�-8 ·o Bii E(l)Ü

-8 �Rectificador 6

onda completa sin distorsion

Figura 2.3: Componentes del módulo oscilador senoidal

Page 27: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

19

El Oscilador de Cuarzo

El cristal de cuarzo es utilizado como componente de control de la frecuencia de

circuitos osciladores convirtiendo las vibraciones mecánicas en voltajes eléctricos a

una frecuencia específica.

Esto ocurre debido al efecto piezoeléctrico. La piezoelectricidad es electricidad

creada por una presión mecánica. En un material piezoeléctrico, al aplicar una

presión mecánica sobre un eje, dará como consecuencia la creación de una carga

eléctrica a lo largo de un eje ubicado en un ángulo recto respecto al de la aplicación

de la presión mecánica. En algunos materiales, se encuentra que aplicando un campo

eléctrico según un eje, produce una deformación mecánica según otro eje ubicado a

un ángulo recto respecto al primero.

Por las propiedades mecánicas, eléctricas, y químicas, el cuarzo es el material más

apropiado para fabricar dispositivos con frecuencia bien controlada.

La estabilidad de la frecuencia se refiere a la máxima desviación en partes por

millón, en un determinado rango de temperatura. La desviación esta tomada con

referencia a la frecuencia medida a 25°C.

El circuito oscilador presentado en la Figura 2.4, se destaca por su sencillez y alta

confiabilidad, debido a la precisión del cristal. Entrega a su salida, una forma de

onda senoidal y es extremadamente estable; utiliza un oscilador a cristal de 1.25

MHz, con un transistor PNP.

Vcc12V

R1

1K

C1

100pf

..,_____. __ ----l 1-(---·· -----r 01

10nf SALIDA

R2

22K

D CRISTAL

11.8MHz

BC568

R3

1K

Figura 2.4: Circuito oscilador de cuarzo de 1.25MHz.

Page 28: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

20

Divisor de Frecuencia

Este circuito tiene como señal de entrada la salida del circuito oscilador de

cuarzo y va a dividir la frecuencia de oscilación de tal manera que la salida sea una

señal cuadrada de 60Hz con un dury rycle de 50%

El circuito divisor de frecuenta tendrá como entrada un circuito integrado schmith

trigger, este es un buffer con histéresis, su salida será una señal de 120 Hz.

Para garantizar el dury rycle de 50% requerido para garantizar la simetría de la

señal de salida, usamos un flip flop en arreglo "T" el que además divide la frecuencia

entre dos, lo que a la salida del circuito se obtiene una onda cuadrada simétrica de

60Hz.

A continuación, se presenta el cálculo para el divisor de frecuencia (D1):

D = fcuano = l.25E6 = 10416 1 120Hz 120

Entonces el divisor de frecuencia será un contador que cuenta hasta 10416.

Estará conformado por un contador de 14 bits (un circuito integrado o un arreglo de

14 flip flops en serie), y un arreglo de lógica digital para delimitar la cuenta, para esto

se puede usar un arreglo con puertas lógicas discretas o con un multiplexor (MUX).

A continuación se va a diseñar el circuito lógico para la delimitación del

contador. Descomponemos el número 10416 en binario:

Q13 Q12 Qu Q10 Q9 Qa Q7

1 O 1 O O O 1

Luego, el circuito delimitador de conteo será la función AND de Q13, Qu, Q7, Qs

y, Q4• En la Figura 2.5 se muestra el circuito divisor de frecuencia.

Filtro Pasabajos

El filtro pasabajos es el que permite eliminar los armónicos de la onda cuadrada y

recuperar el armónico fundamental a 60Hz.

Los filtros pasabajos pueden ser de primer o segundo orden. Los de segundo

orden reducen su amplificación 12 decibelios por octava que aumenta la frecuencia,

o lo que es lo mismo, 40 decibelios por década. Como es importante contar con una

Page 29: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

21

QA -

OscXtal .�

2 10

QO 5

QE 4 QF

QG 13

�·� CLKlH 12

QI f 60Hz cuadrada ·14

QJ 15 QK

1 QL

vcc

114 J Q

12 QM

::S �N M M

('l..- ..-('j .... CLK 74HC4020

.... 3

Klj

Q

13

N

R11 R12 .... �--'Vv\,---j-----'

1 .01n 0 10k

Figura 2.5: Divisor de frecuenta de 1.25MHz a 60Hz.

curva de respuesta lo más perfecta posible, en la que, la pendiente sea elevada, se

elige un filtro de cuarto orden.

Para el diseño del filtro se considera un circuito integrado operacional, como

amplificador selectivo de frecuencia, a base de incluir en su bucle de realimentación

redes reactivas constituidas por resistencias y condensadores realizando un filtro

pasabajo de ganancia uno. Para una mejora respuesta en la frecuencia de corte, se

usa un filtro pasabajos Bessel de cuarto orden.; el diseño del filtro se realiza

empleando el programa ''Pi/ter Pro" de Texas Instrument.

En la Figura a continuación se muestra el filtro diseñado.

Vi

1oon_l o

C1

470n

USA�

o

C2

470n

U6A�

Figura 2.6: Filtro de 60Hz pasabajos Bessel de cuarto orden.

Vo

Page 30: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

22

R13

390k

Figura 2.7: Circuito eliminador del componente DC en la señal.

La salida señal senoidal de la salida del filtro pasabajos tiene el inconveniente de

estar compuesta por un nivel DC, lo que es eliminado con el arreglo mostrado en la

Figura 2. 7, además, con la ganancia del amplificador operacional, se consigue la

tensión de 1.8V pico, usado en el modulador PWM.

Circuito Rectificador

La señal senoidal generada anteriormente, es necesano que ingrese rectificada

(rectificación de onda completa) al modulo PWN, esta rectificación debe ser sin

perdidas de tensión por lo que no puede realizarse con el arreglo de 4 diodos o 2

diodos, para ello se usa amplificadores operacionales, que no distorsionan la señal,

como verá a continuación.

Uno de los circuitos no lineales básicos y más útiles es el rectificador. Los

rectificadores operan sobre una señal de entrada de manera tal que dependen del

signo de la tensión de entrada instantánea. Se pueden diseñar ya sea para recortar la

parte negativa (o positiva) de la señal o para proporcionar una salida que es el valor

absoluto matemático de la entrada (ver [2], [9]).

Para entender mejor nuestro diseño del rectificador tnversor de onda completa,

partimos del circuito rectificador de media onda mostrado en la Figura 2.8. En esta

Figura vemos que el diodo puede operar en cualquiera de sus dos estados:

conducción y no conducción. El rectificador se va a analizar como si fueran dos

circuitos rectificadores de media onda. Asumiendo que el amplificador operacional

mostrado es ideal, entonces:

V =V =Ü - +

Page 31: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Vi

RA

.----'\J\,1\,------1 +

R.At/RF

-:-0

RF

02

Figura 2.8: Rectificador de media onda.

23

Vo

Para una tensión "Vi positiva, la tensión de salida v1 del amplificador resulta menor

que cero. El diodo D2 conduce y por lo tanto se puede reemplazar por una pequeña

resistencia R.¡. La resistencia interna del diodo en conducción se puede representar

mediante una resistencia pequeña de retroalimentación. Con esto se consigue una

disminución en la ganancia del amplificador. Bajo esta condición, el diodo D1 se

presenta como un circuito abierto:

V =V =0 o -

Por lo contrario, cuando v1 es positiva, D2 no conduce (condición apagado) y D1 s1

conduce (encendido). Para el caso en que v1 es positiva, D1 se reemplaza por una

resistencia directa y D2 por un circuito abierto. Por lo tanto:

Como V- = V+ = O, entonces:

Luego, la tensión de salida es:

V =0 +

v =v. -iR - 1 A

R . R V¡Vº=- ¡l=- IRA

Page 32: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

24

Esta última ecuación no depende de la tensión directa del diodo Vy (tensión de

umbral). Por lo tanto, la realimentación sirve para cancelar la tensión de encendido

(directa) del diodo. Esto nos conduce a un mejor desempeño del diodo como

rectificador, ya que en esta situación el diodo se aproxima más a su modelo ideal.

El rectificador de onda completa empleado en este estudio, produce una salida

que es el valor absoluto o magnitud, de la señal de entrada. Un método para

conseguir la rectificación de onda completa es utilizar dos rectificadores de media

onda. Uno de ellos operando sobre la parte positiva de la entrada y el segundo sobre

la parte negativa. Las salidas luego se suman con las polaridades apropiadas. En la

Figura 2.9 se ilustra dicha configuración, en donde se observa que este método de

rectificación de onda completa requiere tres amplificadores separados.

Vcc+

�Vcc+_ 12V

� _ 12V _ O

r- R2 Vcc-

Vin

"70

"70

-=-o

R1

10k

02

R4

20k

� Vcc-R7

10k

-=-o

C0

Figura 2.9: Rectificador de onda completa.

-=-o

R11

100k

Vsin rectificada

Page 33: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

25

2.4. Modulador PWM

Aunque este tema será ampliamente tratado en el proxtmo capitulo, en esta

sección se da un primer alcance sobre la modulación por ancho de pulso.

La modulación por ancho de pulso (PWM) corresponde a la forma más básica de

modulación para la generación de una onda sinusoidal a partir de una fuente DC. En

la sección 1.5 circuito conmutador, se estudia algunos aspectos de la conmutación en

semiconductores, como los MOSFET's de potencia, IGBT's, y la forma de

implementar la modulación PWM.

Existen determinadas sucesiones de pulsos, en las que ajustando, la cantidad de

pulsos, la duración de los pulsos, el espaciamiento entre pulsos, se puede obtener

una onda, que nunca será una sinusoidal pura, ya que parte en su generación de

pulsos rectangulares.

Sin embargo si se eligen adecuadamente los tres valores antes citados, se puede

conseguir que los armónicos superiores, hasta un número muy bien definido se

hagan prácticamente nulos o al menos despreciables. Cuanto mayor sea la cantidad

de armónicos, que se desea sean despreciables o eliminados, se deberá también

tomar mayor cantidad de pulsos rectangulares, es decir aumentar la frecuencia de

comparación.

El modulador PWM consta principalmente de 3 componentes, la señal a ser

modulada, para este estudio se trata de una señal senoidal de 60Hz, la frecuencia de

comparación, que es una onda triangular cuya frecuencia fT

debe ser mucho mayor a

la frecuencia de la señal a modular, y por último el comparador:

fr >> fsin

En el presente trabajo, se ha elegido la frecuencia fT de 20 KHz. Lo cual cumple

con la condición antes expuesta:

Ír = 20.KHz >> Ísin

En la Figura 2.1 O se muestran los tres componentes de la modulación PWM, en

la Figura 2.11 se observa la salida de la modulación. Para efectos de visualización de

la señal modulada, la frecuencia de la señal triangular se ha fijado a 3KHz. La

simulación se ha realizado con el programa PS CAD.

Page 34: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

"' cr

•spwm 1.05

-O.OS

1.00 -�

-1.00

1.00 •.§!!: 0.75 0.50 025 0.00

-0.25 -0.50 -0.75 -1.00

26

1_ O.O

Ssen 0.9 /" cow�ar-

�( 60.0

1fv½I Str

(_ 3000.0 Q

Figura 2.1 O: Componentes del modulador PWM.

iilii .......... iililiiiiiiiillilHiiiii .. "'11, ,11111111, ,11"' ........... 1111 ....... 11 .. 11 .......... ,11 •• ,11 ,11 ......... "' ........... 11 ... ,11 .............. 11 "0.01: 0.0000

Figura 2.11: Señales en el modulador PWM.

Page 35: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

27

Análisis de los armónicos de la Señal Modulada

Entonces se formula la pregunta ¿que frecuencia de oscilación se debe elegir? Se

efectúa un análisis con el apoyo del programa PS CAD y su módulo FFT (Análisis

de Fourier).

En términos generales, cuanto mayor sea frecuencia de la onda triangular /7,

mejor será la relación entra la armónica fundamental y las demás armónicas no

deseadas; esto debido a que el primer grupo de armónicas no deseadas se encuentran

alrededor de la frecuencia de la onda triangular (fr), el siguiente en el múltiplo de dos

de la frecuencia triangular (2h) y así sucesivamente.

Pero hay una limitante, el circuito conmutador, cuando la frecuencia de

conmutación llega a un nivel determinado, los dispositivos conmutadores empiezan

a presentar distorsiones en la onda conmutada, y perdidas en el dispositivo. Como

medida general se puede adoptar que para los dispositivos conmutadores de

potencia, la frecuencia de conmutación esté por debajo de 1 MHz.

A continuación se presenta la composición de la armónica principal y secundarias

para la frecuencia /r de 20KHz.

•SF0.500

0.450

0.400

0.350

0.300

0.250

0.200

0.150

0.100

0.050

0.000

0.0925 0.0930 0.0935

..

0.0940 0.0945

IC: 0.0944655172414 _y1 (1 ): 0.418576300766 y1 (2): 0.16332037061 _y1 (3): 0.0608829527732 _y1 (4): 0.125 y1(5): 0.0406806884565 _y1 (6): 0.0676495125183 _y1 (7): 0.0832600029144

0.0950 0.0955

..

Figura 2.12: Relación de armónicas para frecuencia de 20KHz.

Page 36: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

28

2.5. Circuito Conmutador

El circuito conmutador es parte del amplificador de potencia tal como se muestra en

la Figura 2.13. Hemos mencionado que módulo circuito conmutador recibe dos

señales. Un de ellas proveniente del módulo elevador de tensión y la otra proviene

del modulador PWM. La primera señal se usa como señal de alimentación y posee

una amplitud de 350 voltios continuos rizados, mientras que la segunda señal

constituye la señal de disparo de los MOSFET's del módulo conmutador. El módulo

conmutador debe generar una señal alterna cuadrada de 220 voltios de amplitud y 60

Hz. El circuito de potencia está conformado por un sistema de disparo y dos pares

de conmutadores A y B, tal como se muestra en la Figura 2.14. El sistema de disparo

permite la conmutación de cada par conmutador (A o B), de forma tal que no se

produzcan cortocircuitos durante la conmutación. Cuando el sistema de disparo

cierra el conmutador A y abre el B, el sentido de la corriente sigue la línea punteada,

induciendo de esta forma la tensión+ Vcc en la carga. Ahora si el sistema de disparo

abre el conmutador A y cierra el conmutador B, el sentido de la corriente sigue la

línea segmentada, induciendo así una tensión -Vcc en la carga. Esto significa que la

carga recibe en sus bornes una tensión de onda cuadrada, cuyo valor varia entre

Vcc, con un consumo de corriente que depende de los conmutadores conformados

por los transistores MOSFET's [10].

-

Salida A A la carga ,-----.,

Amplificador r

de potencia ' Filtro

SalidaB I'

Del circuito PWM JUUL rectificador

'-Generador

,,, PWM

Figura 2.13: Etapa de potencia.

Page 37: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

29

Vcc

r- - J L - 1

Al 1 Bl

Señal

PWM SISTEMA

1. t-DE CARGA

� .l 1 A2

DISPARO

B2

L - - ·1 r - - .,

Par conmutador A .. Al -+- A2

Par conmutador B = Bl -+- B2 \V 'V

Figura 2.14: Esquema general del sistema de disparo y de conmutación.

2.6. El Módulo Filtro

El inversor diseñado presenta una salida del tipo senoidal que puede ya ser usada

en aplicaciones domésticas, debido a que tales equipos cuentan con sistemas

rectificadores de tensión alterna. Por esta razón, el módulo filtro es opcional. Sin

embargo, se dan algunos alcances.

Cuando se requiere reducir la distorsión armónica de la tensión de salida de un

inversor de frecuencia fija o poco variable, podemos emplear un filtro de salida que

pernúta el paso de la onda fundamental e impida los armónicos. Casi todos los

filtros empleados para este propósito tienen configuración tipo LC o alguna

variación de éste. En la Figura 2.15 se presenta un esquema generalizado de este

filtro. La rama serie mostrada, debe tener una impedancia baja con respecto a la

frecuencia de la onda fundamental, de modo que no haya pérdida de tensión, y alta

impedancia con respecto a la frecuencia de los armónicos, para que se absorba la

tensión de armónicos generada por la impedancia Z s, que en realidad es un circuito

puente. La rama paralela conformada por las impedancias Zp y Zc, debe comportarse

de forma opuesta. Es decir, presentar una impedancia alta con respecto a la

frecuencia de la onda fundamental, y baja impedancia con respecto a la frecuencia de

Page 38: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

30

Zs

l u, Zp u,l Zc carga.

'-'-../'

./

Filtro

Figura 2.15: Filtro de salida configuración L generalizada.

los armónicos, con la finalidad de cortocircuitar la corriente generada por los

armónicos. En este tipo de filtro, la impedancia Zp es de tipo inductivo, mientras la

impedancia Z.r es de tipo capacitivo [7].

Se denomina atenuación del filtro para una determinada frecuencia, a la relación

entre las tensiones eficaces de salida y de entrada a dicha frecuencia. Esta atenuación

se formula como sigue:

u (n) ¡z P (n)I Atenuacion(n) = s =

I I Ue(n) Z

s(n)+Z

P(n)

... (2.1)

donde Z5(n) y Zp(n) dependen de la frecuencia considerada y por tanto, lo mismo le

ocurre a la atenuación, que suele ser mayor para frecuencias mas elevadas, debido al

comportamiento inductivo de Z5(n) y capacitivo de Zp(n). En el caso de tener una

cierta impedancia de carga Zc(n), la atenuación mejora porque la impedancia

equivalente resultante:

siempre es menor que Zp(n).

Para ilustrar, en la Figura 2.16 se presenta un filtro comúnmente usado, en el que

se aprecia la inclusión de una rama resonante L3C3 sintonizada a la frecuencia triple

de la fundamental, la cual cortocircuita a dicho armónico.

La atenuación de un filtro de este tipo para un armónico de orden n esta dado

por:

Page 39: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

r- - - ----

1 Ls Cs

1 ��0�--1�-(r-e-r--+-f-41------� -!-

1 ,'>- L3

Lp �: CD � (

L

�- l

� 1 1

_J L - - - J

Filtro tipo resonante serie paralelo Rama resonante

Figura 2.16: Filtro para onda rectangular de frecuencia fija y ancho variable.

donde Cp

es el capacitor de la rama paralela del filtro y Cs

el de la rama serie.

Calculo del Filtro LC en el inversor

31

Se escoge una inductancia L y un condensador C que en arreglo LC que hará de

filtro. La carga es inductiva compuesta por una resistencia Re y una inductancia Le

De las ecuaciones anteriores, se tiene:

Reemplazando en (2.2) tenemos la impedancia equivalente del lazo paralelo:

Ahora se calcula la relación de atenuación, dada la ecuación en (2.1)

Us(w) R

e+ wL

e=----------------

Ue(w) R

e+ w(L +L

e)+ w

2 R

eLC + w

3 LL

eC

Page 40: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

32

A continuación se presenta la respuesta del filtro LC de 5mH y 33uF para una

carga RL de 1 OOn y L de 1 mH.

Tabla 1.1: Respuesta del filtro LC

Armónico f UJUP

Atenuación 1 60 Hz 0.959482 4.052% 2 120 Hz 0.884003 11.600% 4 240 Hz 0.689902 31.010% 10 600 Hz 0.283554 71.645% 333 20KHz 0.000384 99.962% 666 40KHz 0.000096 99.990% 1333 80KHz 0.000024 99.998% 3333 200 KHz 0.000004 100.000%

2. 7. El Transformador Elevador de Voltaje

El transformador elevador de tensión, es de núcleo de ruerro, monofásico,

destinado a uso domestico, de potencia 0.5KV A. El voltaje en el primario, esta en

relación con la tensión de la fuente DC y el dury rycle, como se muestra la sección de

modulación PWM, el dury rycle máximo en el circuito conmutador de potencia es de

80%; entonces el voltaje en el primario es de:

Entonces, la bobina del primario soporta 27 voltios rms, y la tensión en el

secundario es de 220 V rms.

Luego, la relación de vueltas entre primario y secundario viene dada por:

n = vi = 27

·1

= 0.1232v

2220

Para calcular la corriente, se tiene la relación de potencia:

P = V x I, o lo que es lo mismo,

l= p = 0.5KVA =18.5AV 220

Page 41: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

33

Luego, la corriente es de 20 amperios en el primario como máximo. Si se selecciona la inductancia en el primario de 1 OmH, la inductancia en el secundario viene dado por:

ft=n de donde, L2

= L� n

Como la inductancia en el primario es de 1 O mH, la inductancia en el secundario es de:

L = I OmH = 658.84mH 2 0.12322

Entonces la inductancia en el secundario será de 650 mH.

2.8. Realimentación

El circuito de realimentación garantiza que la tensión de salida del inversor permanezca constante, por consiguiente, las cargas que se conecten a él no afectan el voltaje de salida del 220V AC entregado. Esto se logra mediante un bucle de realimentación que toma el voltaje referencial de la tensión de salida y calculando su valor RMS, es comparado con un voltaje referencial, esta diferencia es amplificada y multiplicada con la señal del generador senoidal e inyectada al generador PWM; de este modo si hay caída de tensión en el inversor, será amplificada la señal senoidal que ingresa al generador PWM. A continuación se describe este lazo de realimentación.

La salida de 220V AC, es reducida mediante un transformador de control a un voltaje nominal de 1 voltio rms, el cual es manejable por el circuito de control, ésta señal es rectificada (hallando el valor absoluto), y luego integrada, para después ser amplificada, de tal manera que señal resultante (señalada como V RMS,qu;J, es un voltaje equivalente a la tensión rms de salida del inversor. La señal V RMS,quiv se compara con una señal de voltaje rms de referencia (VrefRMs), la diferencia es amplificada, para luego ser multiplicada con la señal senoidal rectificada proveniente del módulo generador senoidal; resultando la señal Vs;nRutContror Entonces hasta el momento, se tiene una señal senoidal rectificada, cuya amplitud es variada por una señal de control, de tal manera que si tensión de salida del inversor disminuye, la señal senoidal rectificada (V5;,.R,,,c.,.,ro¡) es amplificada ligeramente.

Page 42: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Generador senoidal. modulador PWM. amplificador de potencia. elevador de voltaje

A la entrada delFWM

gts CI Ql - ... Q) -"D CI CI � "D o

�¡

[Multiplicadorff +--V. '; v.,..

,í· ��

�-

t· . � .'

·_:.:�

t t )-

;., .·{ .,_ h ;, l'ntegradorr i j

VRMS equivalente

_(

o

Transformador de control

Figura 2.17: Diagrama de Bloques del modulo Realimentación.

34

La señal senoidal rectificada (Vs;nRe,,Conirod es inyectada a la entrada del circuito

PWM; obteniendo de esta manera, el control de voltaje a bucle cerrado. La Figura

2.1 7 muestra el diagrama de bloques del circuito de realimentación.

A continuación se describe los componentes del circuito de realimentación.

Transformador de Control. Consta de un transformador de baja potencia de

220V AC a 1 V AC. La inductancia del primario es de 4840mH y la del secundario es

de O.lmH.

Generador de voltaje V RMS,q.,;u· Consta de un circuito rectificador de onda

completa diseñado con amplificadores operacionales, que obtiene el valor absoluto

de la señal de voltaje de salida del transformador de control, para luego pasar por el

integrador, que es constituido por un filtro pasabajos que tiene una frecuencia de

corte de 1 Hz y una ganancia dada, que al final del circuito se obtiene un voltaje rms

equivalente a la tensión de salida del inversor.

Amplificador de la onda senoidal rectificada. La señal de referencia V ref RMs de

magnitud 1 voltio es comparada con la señal V RMs,q.,;u, la diferencia es multiplicada

con la señal senoidal rectificada (proveniente del módulo generador senoidal), para

luego ser inyectada a la entrada del circuito PWM, de esta manera es controlado

cualquier error o caída de voltaje en el inversor. El circuito de realimentación

completo es mostrado en la Figura 2.18.

Page 43: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

220V AC Transformador

de control

Vre15V

Rref1

R1

01

f X I

R7

R15 �2

�-....... "1\,-

R18 9k

Multiplicador Vras x SsinRect

• la entrada

del PWK

Figura 2.18: Circuito de Realimentación.

C2

R13 R14 3.3k

27k �

Integrador

35

Page 44: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO 111

ANÁLISIS Y DISEÑO DE LA ETAPA DE POTENCIA

3.1. El Circuito Amplificador PWM

La etapa de potencia comprende el diseño del circuito conmutador con su lógica

de disparo. El circuito conmutador emplea MOSFET's de potencia cuyo código es

IRFZ34. Estos MOSFET's están dispuestos en una configuración tipo espejo (o

configuración H), que comúnmente se denomina circuito amplificador PWM, que a

continuación se analiza. El circuito amplificador de PWM se muestra en la Figura 3.1

(ver [5], [6])

En dicha Figura podemos observar la etapa amplificadora de las entradas que

provienen del generador de ondas PWM0N o PWMoSN· Un conmutador amplificador

puede ser hecho cambiando la frecuencia de conmutación o el "dury cycle", o

cambiando ambas. El efecto neto buscado es que el promedio de voltaje de salida

sea proporcional a la tensión de comando. El método mas común de un conmutador

amplificador es la modulación por ancho de pulso PWM, aquí los dispositivos

conmutadores conmutan a una frecuencia constante, dando como resultado la

variación de tensión de salida entre dos valores extremos. Por variación del ancho de

pulso o del dury cycle, el valor promedio de voltaje de salida puede ser cambiado en

forma proporcional a la tensión de comando. El conmutador amplificador es de tipo

"H" su principal ventaja, es que solo es necesaria una fuente cuando se requiera alta

tensión; los dispositivos conmutadores son conmutados en pares generando una

tensión bipolar a la salida.

El amplificador de tipo "H", se implementa con dispositivos tipo MOSFET's y

una fuente de alimentación de 350 voltios la que proviene del módulo elevador de

voltaje. Para disparar a los MOSFET's es necesario un circuito adicional para que

genere la tensión de disparo en cada conmutado. En la Figura 3.1 se presenta el

circuito amplificador de PWM.

Page 45: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

37

El circuito de disparo Q 14 consiste de dos circuitos de disparo Q1

y Q3

, los cuales

forman un amplificador clase B. Este amplificador se maneja por una compuerta

NOT de colector abierto que, dependiendo de la señal PWMosN, llega a saturar o a

cortar a Q1 • El propósito de Q3 es absorber las corrientes de fuga de Qw El circuito

de disparo de Q5 consiste también en un amplificador clase B, el cual está formado

por Q2 y Q4; como la tensión de disparo está dada por la diferencia de tensión entre

los pines Cate y Source de los MOSFET's, con alimentación V5

, entonces Q2 se

alimenta por el condensador C1

, el cual tiene como referencia a V5; es decir, C1 juega

el papel de fuente. El diodo D1 , con la fuente de 12 voltios, dan la tensión y

corriente necesarias a C1 y al circuito amplificador. Q12

sirve para llevar a corte y a

saturación a Qú Q12, a su vez, lleva a corte y saturación a la señal PWM0N, la cual

pasa por dos compuertas NOT de colector abierto. Q4 sirve para absorber las

corrientes de fuga de Q5

R21

500 R20

500

....

01 ""

.......

V24

I C15

...I.. 2211 -=-o

......

-

500

-=-o

A23 02

30 ,_

º'

bRI

30

01

Figura 3.1: Circuito amplificador de PWM.

4.71<

013

Page 46: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

38

Características del Dispositivo Conmutador:

Para la conmutación, es usado el MOSFET de potencia de canal N, IRFZ44, fue

especialmente diseñado para minimizar la resistencia interna proveyendo una

superior performance para la conmutación. A continuación nombramos sus

principales características: 55V, 50A, resistencia interna equivalente de 20mn, opera

entre los -55 a 175ºC, tiene un diodo interno entre sus pines drain (D) y source (S).

En la Figura 3.2 se muestra su esquema.

D

s

Figura 3.2: Esquema del IRFZ44.

Page 47: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO IV

ANÁLISIS Y DISEÑO DE LA ETAPA DE CONTROL

4.1. Circuito de Disparo

Para entender la lógica de disparo que tiene el módulo de control, debemos

entender el principio de operación de las señales en tiempo discreto. Esto es,

interpretar la información que está contenida en secuencias de dígitos binarios; es

decir, la ocurrencia de cada bit en un momento específico. Por tanto, la

sincronización es sumamente importante, y las transiciones se deben producir en

momentos muy bien controlados. Muchos circuitos digitales requieren que se genere

un pulso de disparo, el cual se utiliza para controlar la sincronización de acuerdo a la

siguiente relación:

Por lo general, los pulsos de disparo se generan ya sea en flanco de subida o de

bajada, por medio de un tren de pulsos. En nuestro caso debemos de generar un tren

de pulsos a partir de un circuito RC, el cual se constituye en la entrada al circuito

integrado LMl 524 (modulador/ demodulador), tal como muestra la Figura 4.1. Para

nuestro diseño, debemos de considerar una frecuencia de 60 Hz cuyo período es

16. 7 ms. El pulso de disparo a generar debe ser inferior 0.1 ms de ancho, y sólo debe

estar presente durante los flancos negativos del tren de pulsos del reloj de entrada.

Clock

Rr

Cr 6 ose PV\iM Latch

Figura 4.1: Circuito generador de pulsos de disparo.

Page 48: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

40

La constante de tiempo r debe ser bastante pequeña para que el pulso de salida caiga

casi hasta cero en 0.1 ms. Para ello escogemos un condensador de 1 O nF y una

resistencia que este en el rango de 2 a 1 O Kn. De este modo podemos elegir un valor

de resistencia de 5 Kn, donde:

r = Sx10310x10-9 = 0,0Sms < 0,1ms

lo que es equivalente en tener una frecuencia de oscilación de 20 KHz

4.2. Circuito Generador de la Señal PWM

Del modulo generador senoidal se tiene una señal con forma de onda seno, y

rectificada idealmente (sin perdidas), otorgando el valor absoluto de la onda

senoidal. Esta señal es inyectada al modulador PWM, que a su vez mediante un

arreglo lógico se generan dos señales desfasadas 180º. En la Figura 4.2 se muestra un

primer circuito modulador PWM

El circuito mostrado en la Figura 4.2, presenta un inconveniente, en la transición

de conmutación entre las señales A y B, se pueden disparar los dos dispositivos

conmutadores a la vez, lo que ocasiona en el mejor de los casos pérdida, y puede

llegar hasta el deterioro o quemado de los dispositivos conmutadores.

R15 100k

Vcc+ •:)

R22

80k

Vcc+

2

2

L r--:-o

"'"=-o Vcc+

2

�o 80k

o

Figura 4.2: Circuito modulador PWM básico.

3

3

t RLoad1

, 10k -..;_-º

� RLoad2 � 10k

_¡_ -:-:::- o

Salida PWM

Page 49: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

41

Circuito Generador de Tiempo Muerto

Para salvar el problema antes mencionado se inserta un tiempo muerto entre las

conmutaciones de las salidas A y B, esto se hace mediante temporizadores y arreglos

lógicos como se ve a continuación.

La Figura 4.3 muestra el circuito generador de señales PWM y su señal negada

PWM con tiempo muerto.

Se describe a continuación el funcionamiento del circuito generador de PWM,

empezando con el uso del circuito integrado LM1524 generador de PWM. Este

integrado tiene un pin comparador que recibe la señal de control como una entrada,

para que esta señal de control se module, siendo su rango variable. Por ejemplo,

rangos de 0.8 a 4.5 voltios. Un valor superior o inferior a este rango, ocasiona que el

circuito integrado LM1524 se sature.

Vcc+ () ·1

1Rab Vcc+ Salida PWM

<(I <( I

F} ose uw Cll1

Cll1 SHUT 10

uw

R15 O VREF cé �

5v � f-f-O::O:: 100k > uo::ww

-=-o �

C OMP t-=-9-+---,

�o e

2k

-=-o

R21 20k

Vcc+ Vcc+ - �

�,

_j__ r--.::-

_2_

l

Vcc+ ¡,, ..

fJ ,_, ,/

2 12 13

t-,:-

')

� Vcc+

2 12 13

.t'�

Vcc+

C3

---1� Rc1 :::-100k �

C4 .01n I

i-.. ·

�-..., .... , ----,t::ªº .01n

�- 7

i···•J:,�1-.. �-tr-�--1

- 3�))·:,· v·- v, 1 Ctr

1��-.

J_

_j_ Rtr

1n -'-

_L r--::- 33k _l r--:-

Temporizador

Figura 4.3: Circuito Generador de PWM con tiempo muerto.

f RLoad1

_j__ 10k

� Rload2

__ _;__ 10k

Page 50: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

42

En la Figura 4.3, se explica también la base de tiempo que se controla por R,. y

Cn trabajando a una frecuencia de aproximadamente 20 KHz. En CA y Ca se forman

dos señales pulso desfasadas 180 grados con dury cycle limitado que varia de O a 45%

( conservando su linealidad). La suma de estas señales da lugar a una señal PWM con

dury cycle variable de O a 90%. El efecto de R0b es sumar las señales de CA y Ca.

El tiempo de temporización viene dado por í = 1.1 RC. La Figura 4.4 describe el

diagrama de tiempos de la generación de PWM y PWM con tiempo muerto,

considerando los retardos de las compuertas lógicas utilizadas, donde:

1. La señal PWM;n original se muestra en (a).

2. La señal invertida PWM in se muestra en (b).

3. En (c) se muestra la generación de pulsos de disparo a partir de la señal

senoidal rectificada

4. Como se aprecia en (d), con un circuito temporizador, se genera un retardo T0

a partir del los pulsos entregados en (c).

(a)

PWM;_ 1�------.

�· l 1: (b) i -ti� Ta

PWM;. ¡¡ (e)

(e) Neg

(f)

PWMtm

t l 1

¡;

11

11

l'• 11¡n., ···¡· «¡-�,.. 1 , r�¡1,ii:1i!¡' ¡l·¡1�¡l\í ,

" l!�ll!Hil J; tr1;. 1.

>

>

>

@ >

�1 >

P�tm ¡L __ __._!:i ����:..u... ___ _¡,_ __ ...._ ___ -¿)

Figura 4.4: Diagrama de tiempos del circuito generador PWM con tiempo muerto

Page 51: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

i¡ !,¡

I! ¡¡¡ i! 1 ¡

.1-1. ti¡ I'

¡¡!11 ,t

¡¡ Hi 11 i! 1 li

H ll' u! 1

1U.UUl'lS

Figura 4.5: Salidas del modulador PWMtm y PWM ,m (Salidas A y B).

43

¡'.·

1 ¡;· 1¡:

1,, i:

:::

·¡ti:J¡ /!:

l1 :

5. En (d), el ancho de pulso está controlado por RTR y C7R. Siendo el tiempo de

temporización 1.1 RTRClR·

6. Con USA, utilizado como seguidor y negador, invierte la señal: del

temporizador; la salida resultante, normalmente se encuentra en uno, y en el

intervalo temporizado, conmuta a cero, esto para ser multiplicado en la puerta

ANO. (e)

7. En (f) se aprecia la señal de control PWM,,,, con el tiempo muerto Ta.

8. Asimismo, en (g) se observa la señal de control PWM ,m con su respectivo

tiempo muerto Ta.

En la Figura 4.5, se aprecia el efecto del las señales PWM con tiempo muerto, en

el cambio de operación entre las señales A y B, en el pulso de cambio, todos los

conmutadores se encuentran apagados.

4.3. Características Internas del LM1524

La Figura 4.6 presenta al dispositivo LM1524 que es circuito integrado que

contiene toda una circuitería de control para un suministro de inversor de potencia.

Está incluido dentro de un paquete dual en línea de 16 pines. La cápsula interna

Page 52: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

44

presenta un voltaje de referencia, un amplificador del error, oscilador, modulador

demodulador de ancho de pulso, salida de conmutación y circuitos de cierre.

La representación interna del LM1524, posee las siguientes características:

1) Circuito de control de potencia PWM.

2) Salida push pull

3) Línea y regulación de carga de 0.2%.

4) 1 % de variación de temperatura máxima.

5) Corriente del suministro total menor que 1 0mA.

6) Operación más allá de los 100 KHz.

Valores Nominales del LM1524

Según los manuales de las referencias [3] y [4], podemos indicar los valores

nominales más representativos del LMt 524:

1) Voltaje de entrada de 40 V.

2) Voltaje de referencia forzado de 6 V.

3) Corriente de referencia de 50 mA.

4) Corriente de salida de 100 mA.

5) Disipación interna de potencia a 1 W.

6) Temperatura de operación 0ºC a +125ºC.

4.4. Aplicaciones del LM1524

El circuito modulador por ancho de pulso LM1524 es ampliamente usado en

elementos de control y regulador de potencia switching. Entre sus principales

aplicaciones podemos citar las siguientes:

1) Servo de intensidad constante.

2) Convertidor de temperatura por ancho de pulso.

3) Controlador de temperatura.

4) Control de velocidad de un motor.

5) Diseño de fuente conmutada.

Page 53: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

45

REFERENCE +SVTO ALL

15 REGULATOR

V+ 5V INTERNAL CIRCUITS

+SV

16

VREF FLIP

FLOP

ose ouT +SV

- +SV

CT +SV

1 INV. INPUT + SENSE

NON-INV. -SENSE

INPUT

10 SHUTDOWN COMP ENSATION ANO COMPARATOR

10k0

� -

Figura 4.6: Diagrama interno del LM1524.

Page 54: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO V

RESULTADOS DE SIMULACIÓN

5.1. Diagrama de Bloques del Proceso de Simulación

El sistema inversor diseñado va a ser simulado por etapas. El diagrama de

bloques de la Figura 5.1 presenta el orden en que van a ser simuladas tales etapas, las

cuales definiremos como: parte 1, parte 2 y parte 3. En la parte 1 se simula la salida

del generador senoidal de cuarzo, en la parte 2 se simula las señales de salida del

generador PWM, y en la parte 3 (Figura 5.4) se simula la salida del amplificador de

potencia; además se muestra la salida del inversor en su conjunto, la cual es una

tensión senoidal de 220V a 60Hz, esta salida puede suministrarse como tensión

alterna requerida para las aplicaciones domésticas. Cabe mencionar que las

simulaciones han sido llevadas a cabo empleando el programa Oread Capture

versión 9.1 y Pspice. Ejemplos ilustrativos acerca de otras simulaciones se pueden

encontrar en la referencia [1].

PARTEl Generador Senoidal

PARTE2 Generador PWM

PARTE3 Circuito Conmutador

Figura 5.1: Esquema de simulación.

Salida

Senoidal

Page 55: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

47

5.2. Simulación del Generador Senoidal

En la Figura 5.2 (a), en su parte inferior, se puede apreciar el pulso de control

que delimita el contador y por ende la división de frecuencias, en la parte superior se

muestra la división de frecuencias. En la parte (b) de la Figura 5.2, se resalta la

generación de la señal cuadrada a 60.0Hz., asimismo la salida senoidal de 60Hz, se

observa también, un desfase entre las ondas senoidales y cuadradas, esto propio del

tipo de filtro usado para recuperar el armónico fundamental.

1111)

lil'J

1119

019 111 1)

u-,,._,

111fJ

llll} 111') 111\1

111'.I

01< (111

1)1 IJI( (J.I

�- ··---·--·- -·· . --·-- - ·-- ·- ·--·------ -------- -·-----·-·· .. ---· ·--·----------- ------ ·-· - '1 -· •..• __ •• _ .. _____ -- .. ------.r--· -------· _____________ .. ,_ .. _________ .... · t_·-�:_:_�_j--::.. -- --��:=��- --� _--�r-�-�--=- � --��� _______ .. r-··- -____ _:_:·<-�� �- ��-�-�-;=::=�·-_::�� .�: ... l. 1 1 _. 1 ·1 .•• 1 · ·1.. .1 : · ! . 1 • • 1 _. _ : • · i. 17 • ·1. __ i · •• · .. _ • r ·

. l

IJI ...r-·1. ,·--, r 7 .. -,_ -· :i_ --, • ·-1 -- ·1 ---1 , -1 r--- r-· ,- 7 r-7 r•· L ¡- 1 r--, , -- 1 - t __ f · ;-r-1 1 ·1 -,

-; 1 l ¡:1 1··1 �-:¡ 1 · 1 _�:�_1�1 ,:-_ r 1-:�. : .. ,� �-J 1.Í� ; ,_ (·i. r¡ i t '..l 1 ; ;·¡-1 ; ·1 J' 1 . ·1�i

-:-1..!r·i..1·, : 1� 1 . ·:: il. �J-l · ; 1 1 . · IJII

l)f;

111 1)1

·, 1n:1111111 :1r'1nn nnnr-;1nr ;:;i11nr,;inrJ;;·umr.rc.nnJ1,:nnrJ:n n11:·:r.nn ·1n1rt n;-__ 1r,.r1:-.n1.1nrnv1nn.711nJ:n:1n.rc :-.. 1ru:;:. 1:1! '.r. ·t. r li,ru11•9111mm1ru1nrnn111,11J1mtriN'.ll ,111111r11:u111 bti1m:� uuw,1t:r:·�11n11niu,1111111ut;, 11n1utru1r:,,111111nn:1· .u 111n1, · A 11,1p111,�:1¡-1�1u11 r,�1.uu1111,1•,11rn1R1:,1 �1r.mnn·11,ui1111P. ·.1:.:111n11H 1c1,111r 11 1: :1 1 11nnmn1111u1111ru111u11u111U1Utruru\llUIHlllBHUlnlTIIUDllfllllflllffUIIUIIIUIIIUIRlllllllUIIIUUlllllUUIIRIUIUUIIIWWHIJUIIIIJl1RrunlllWlnllUIIWIIIJIUUUlUIJII

l)l\

11,1.1 .

\111·¡------

-11,1.1 •

1. lln•; v Up::t: 1)

fi.llll-•

f1. IU) � • •

·.,tll;

111.1 ·-·-· • ·- - •

u-.. 1lln•. :'Un:, v l•(I::' ::•) • ll(lf�(IO:I))

-1

t1. un·�

1 ···- - -

1-

' �

1�. Un·.

r--- .. --¡

.. · - 1 ' 1

1 1 l ·1 . 1 j '� -�- . l __ · -- . ¡_

I¡ 1111<; 1,stn� t "''"

1 1�· 1

/ • IJJ 1',

i .1

'

�, . íl¡ ¡•,

i(\ V

.. �­J j

i

. ___ ¡ H�lll•. •Jt111·.

1\1::•

Figura 5.2: Señales en la generación de onda senoidal de 60Hz.

Page 56: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

48

En la Figura 5.3 se observa las dos salidas del modulo generador, que son usadas

en modulador PWM, la señales senoidales de 60Hz. En la parte superior (a) se

muestra la señal senoidal, y en la parte inferior (b) la señal senoidal rectificada, esto

se logra empleando un arreglo de amplificadores operacionales en disposición de

rectificador de doble onda; nótese que no hay caídas de tensión en la rectificación.

Se observa además, en la señal senoidal, el componente DC mostrado en la Figura

anterior ha sido eliminado, esto con la asistencia de un condensador y un

amplificador operacional, este último además es usado para darle la magnitud de

1.8V pico, necesario para el modulo generador PWM .

:-'. hU :

,/\;

.. ;

..... : .... :-· .

. · ...

········ .. ...

,• 1 ·/ l - ..... -------- .. - -------· ------ ----- -. ·-, •\ - /"\ /'\ /· \ ,.·-\ i '\ / \ . / ,: / ' { \ ·' \

I . . . ! \ . . -: . / ' \ . I \ ¡. \ / ·� / \ l --- ¡ · · -i-!- ;. \- · .. , ... \ r �. · r ., · � ,

llll ,o- -· - · -�· · \ / - \ / . \ / \ '/ \ / \. . . ., l . 1\ . /

• \ ! . . \ 1 \ . / ·, . l -\\ / . \\ ' ,/ \ /" \ ,'

l .i

,;, • Ul.,I T""· --· :··

,,. u\1 i Os

e ll(ll�J N)

:¡. í\lJ -- ··-···.

• • 1

.. ·\ . j - -.__,.,P.. ·\¡j·. \_t./-:- '\:,,./ .\ . ..._/·

�Onc; llltns 1 uun•.

,a-

f,'1r1·. !=:111·,-.

·� ' ·�-·

Figura 5.3: Señal de salida del módulo generador senoidal con cristal.

. ; !

./ ..

\ \

•; fi•1·.

• ir:t·

Page 57: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

49

5.3. Simulación del Modulador PWM

En la simulación mostrada en la Figura 5.4, se aprecian las salidas del tren de

pulsos de disparo de las señales PWM con tiempo muerto. En la parte superior (a) se

observa que la salida es una onda PWM0n

(U3A:Y) mientras que la otra salida es la

onda "negada,, PWM osn (U7 A:Y); estas señales están desfasadas en 90º y tienen una

frecuencia de 20 KHz. Tales señales vienen a ser las suma de las señales de salida del

modulador LM1524, las cuales dan lugar a una señal PWM con duty cycle variable de O

a 80%. En la parte inferior (b) se muestra el detalle de la conmutación entre ambas

señales con tiempo muerto.

ll�t(I :'/ i ___ : . --·-··---·-· --·- - . ---·· -·- -·-.

ll/0 :\'

Os

. . - - -- ---�--_ji-

.. . . .. . .... . .. � . -

10ns

11

1 1. 1

: : i I I' , l,¡ 111 111·: 11 ,¡ 1 , 11

1 1 1' 1, 1 1 • 1 1

lhn•. 16n� Hin:;. ·.·11n·.

! · : . • . : ; : _ · · . llLIJ_LLlllllUlll_illUUUllUIJl l�lllll:llt:\' �------- --------'------------- .

ll/11:'I Jlllllll.lllUIJJUllllllJUlllllliLUll ______ �-- __________ ,., __ ··-- -- - ---·-

/. ll.' /11', ? _r.,1on•, n. 01H1n• .• 1_1 _ 1n11111·- '}.1,111\:1.

-1:•, 8. r. \10n�-

-. : i>-

Figura 5.4: Salida del modulo generador PWM.

Page 58: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

50

5.4. Simulación en el Circuito Conmutador

En la Figura 5.5 se observa la conmutación en de las señales en conmutador de

potencia, con un a carga resistiva. En la parte superior (a) se aprecia la conmutación

en la sucesión de dos combas, en la parte inferior (b) se muestra el detalle de

conmutación entre dos las dos combas que forman la señal senoidal.

La Figura 5.6 muestra el espectro en frecuencias de la esta señal, como era de

esperarse, la frecuencia fundamental se encuentra a 60Hz, mientras que el siguiente

grupo de armónicos aparecen a los 20KHz .

. ··1········

11-w-

'

··1',U r-··�--·-- · ___ :

. : : .. · .:::-� J .. ·. ·.: .. ' . ¡ .. ': . .. : ..... ! ... ,· . ' .. '...... • .. ·. ' .. : .... - . .': - .. , .•... , ..'1•, ?n•.

n U(�l :1.Hl :?j

·;�u---·-···- .....

í Oll · · --...-- --:· -·- --- ---··

4n· ... (,n:- 1?11. 11.:11•

.......... i-11 ,.'i !-i!l,i11!li!i¡'i.j¡' 1 1 !!!::-t. . .. " l l • 1 • ' f r ' r [1 ,1 'i '1 r• n ¡· ' ·, ..

i • 1 : 1 • ll 1 ¡· I¡ i , ! , 1 , ,

ltlJ: . t .

¡- - 11(·1� "i ;·� � ·r'; t·�·,71¡ l rr- - ;.... . -- . -l lj, "" t I

IJ' 1: ¡·í 11 ?! 1: ¡¡ ¡¡ i] !! !! ::l ¡ 1 . � .�, : ! • , 1¡ I' 11 r! 11 1 , ¡, , ¡ 1 ¡

1 J ' 1 11 ' '11 'i 1 '1 '1' 1 . ·; 1 :J . ,. 'L. i !. !, 1, i¡ ,: i 1 ..

JJ ' __ !....,-1:_J :..11.J 1L!i.ll l:J1 :L::J:_

- ll\V

11;u

l 1 : : : :! ' : 1 ·¡ . :: f 1 1 ' ij I t 1 • ¡ 1 • J¡ ¡ ' i ' l ' ' ·¡' 1

' :· ' : 1 1 ! j li 1, ¡: . " ' : ; : '.: :· :: : [ 11

1· 1 1 1 ' ., ' ' ' ¡, ,9 " 1 ] l

1 ! ¡: ; . : ,: :�; + '. ¡_:; ., .. :: H ·,· U ll· .. ··lJ. l l. l.! ' .• J · J. • . .! 1 �.

/.lPu,·. /.t,ltrr. -1:,, :1 11( UI : 1 .P.I : �'.)

,, . n11n-,

Figura 5.5: Salida del modulo generador PWM.

'.I 1, IU1•

li r¡.:..

Page 59: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

51

171)- ---·- -··-·· -

ílll

,,u- ---- -

uu+-�-�----"------"01-----v-----'º .. &,,L-__ _.¡¡4+1A· --�..J,.,._ij __ _,.,J.¡, __ _-,1,..,._ __ .....

0117 :tOl(H;, s,n1:112 t'>lllat?. Hllf(lt:t tOUKlll 1i'11l{117 141.UUI.:"' H,lll(H?

e U(l<I :1.111 :n

: i ºt.'0,'.l(>IH:V

Figura 5.6: Espectro de frecuencias de la salida del modulo generador PWM.

5.4. Simulación de la Salida del Inversor

En la simulación de la salida del inversor, Figura S. 7, se observa la tensión

senoidal generada con 60 Hz de frecuencia; puede ser usada directamente para

aplicaciones domésticas. Se aprecia un tiempo de transición de aproximadamente

1 0ms en el cual, el inversor se estabiliza, luego de este tiempo la salida es

perfectamente senoidal.

Se ha pretendido mostrar los resultados del diseño de los bloques y

específicamente de los circuitos electrónicos utilizados en las diferentes etapas

usando software de simulación como el Oread - Pspice y el PS CAD; este conjunto

de simulaciones de visualizan con mayor detalle en el anexo B: Simulación en

Pspice.

Page 60: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

52

400 • Vout

300

200

100

V 000

100

200

300

400 1 1 1 1 1 1 1 1 1

0.000 0.010 0.020 0.030 0.040 0.050 0.060 0.070 0.080 0.090 0.100

seg

Figura 5.7: Simulación de la tensión de salida del inversor.

Page 61: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO VI

EVALUACIÓN ECONÓMICA DEL PROYECTO

6.1. Costo de los Componentes

En este capítulo se especifican los costos de los elementos activos y pasivos del

inversor diseñado, así como también los costos de diseño, construcción y montaje

del sistema. El costo resultante parece a primera vista ser elevado comparado con los

costos de inversores que existen en el mercado y que desarrollan una potencia

similar en operación. La explicación, es que el costo calculado corresponde a un

prototipo.

Las tablas 6.1 y 6.2 indican la descripción, cantidad y costo por unidad de cada

uno de los componentes que se usan en el proyecto.

Circuito de Potencia:

Tabla 6.1: Circuito de Potencia: Descripción y Costos.

Descripción Valor Cant. S /. Costo unit. S /. Costo tot. Compuerta NOT 74HC14 2 2.00 2.00 Compuerta buffer 74HC3S 4 2.00 2.00 Cond. Cerámico 22uF 3 0.40 1.20 Cond. Alterna 33uF 1 20.00 20.00 Diodos 1N4S00 2 0.20 0.40 Inductancia SmH 1 10.00 10.00 Mosfet de pot. IRFZ44 4 10.00 40.00 Resistencia 30 n 6 0.10 0.60 Resistencia S00n 2 0.10 0.20 Resistencia 2Kn 2 0.10 0.20 Resistencia 3,3Kn 6 0.10 0.60 Resistencia 4,7 Kn 4 0.10 0.40 Transistor NPN 2N3904 6 o.so 3.00 Transistor PNP 2N390S 4 o.so 2.00 Transformador 27/220 1 100.00 100.00

Page 62: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

54

Circuito de Control:

Tabla 6.2: Circuito de Control: Descripción y Costos.

Descripción Valor Cant. S /. Costo unit S/. Costo tot. Comparador LM339 1 1.00 1.00 Comp. ANO trip 74HC11 4 2.00 4.00 Comp. NOT 74HC14 3 2.00 2.00 Cond. Cerámico l0pF 3 o.so 1.50 Cond. Cerámico l00pF 1 o.so o.so

Cond. Cerámico lnF 1 o.so o.so

Cond. Cerámico l0nF 3 o.so 1.50 Cond. Cerámico l00nF 2 o.so 1.00 Cond. Cerámico 470nF 2 o.so 1.00 Condensador lüuF 1 1.00 1.00 Condensador 33uF 1 1.00 1.00 Contador Bin. 74HC4020 1 5.00 5.00 Diodo 1N4148 10 0.20 2.00 Flip Flop JK 74HC73 1 2.00 2.00 Gen. PWM LM1524 2 15.00 30.00 Mult. señal AD633 1 10.00 10.00 Opamp TL082/301 11 5.00 55.00 Ose. cuarzo 1.25MHz 1 5.00 5.00 Resistencia ln 2 0.10 0.20 Resistencia 1 Kn 1 0.10 0.10 Resistencia 2Kn 2 0.10 0.20 Resistencia 2,2 Kn 3 0.10 0.30 Resistencia 3Kn 1 0.10 0.10 Resistencia 3,3 Kn 2 0.10 0.20 Resistencia 3,7 Kn 1 0.10 0.10 Resistencia 4Kn 1 0.10 0.10 Resistencia SKn 12 0.10 1.20 Resistencia 9Kn 1 0.10 0.10 Resistencia l0Kn 8 0.10 0.80 Resistencia 13Kn 1 0.10 0.10 Resistencia 20Kn 7 0.10 0.70 Resistencia 22Kn 3 0.10 0.30 Resistencia 27Kn 1 0.10 0.10 Resistencia 33Kn 1 0.10 0.10 Resistencia 80Kn 2 0.10 0.20 Resistencia 100 Kn 3 0.10 0.30 Resistencia 390Kn 1 0.10 0.10 Potenciómetro 2Kn 2 1.00 2.00 Transformador 220/1 1 10.00 10.00 Transistor PNP 2N3905 1 o.so o.so

Page 63: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

SS

6.2. Costos de Diseño y Construcción

El costo de implementación considera lo siguiente:

a) El proceso de elaboración de las tarjetas en las etapas de control y de potencia:

S/. 60.00.

b) Trabajos de simulación en Oread: S/. 1500.00.

e) Elaboración del informe técnico: S/. 1000.00.

d) Otros: S/. 300.00

El costo total resulta de la suma de los costos anteriores: S/ 3184.40.

Page 64: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

CAPÍTULO VII

CONCLUSIONES

Para tener un control mas preciso, es necesano tener un ancho de banda lo

suficientemente grande para que permita manejar mejor la salida de la señal PWM,

principalmente su tiempo muerto. El uso del circuito de conmutación en base a

MOSFET's, además de ser eficiente en el manejo del tiempo muerto de la señal de

salida PWM, también va a ser eficiente respecto al uso de los SCR's (Semiconductor

Control Rectifiers), ya que la lógica de disparo para los SCR's es mas compleja con

respecto a la lógica que manejan los MOSFET's. Esto es debido a que en un SCR

encendido, su corriente es grande y requiere de circuitos adicionales para su correcto

funcionamiento. Por estos considerándoos, es que hemos preferido usar MOSFET's

en lugar de SCR's. En la actualidad se encuentran dispositivos MOSFET de alto

desempeño, permitiendo manejar altas corrientes con poca resistividad interna. Para

el presente trabajo se ha utilizado un MOSFET de mediana potencia llegando a

manejar corrientes de 50 A, asimismo, se ha tomado en cuenta para la selección del

dispositivo de conmutación, la disposición y los precios en el mercado.

Asimismo, teniendo en cuenta el mismo principio, el diseño del filtro en la parte

de potencia contempla una inductancia de 5mH y una capacitancia de 33uF, que son

relativamente económicas y de fácil adquisición.

La metodología empleada para las simulaciones, responde al diagrama de bloques

de la Figura 5.1, en donde el sistema diseñado se ha dividido en tres partes con

propósitos de simulación.

La simulación de la parte 1, es bastante interesante, dado que muestra que es

posible generar una muy buena señal de 60 Hz senoidal. El filtro pasabajos de cuarto

orden con amplificador operacional, fue suficiente para recuperar la armónica

fundamental de la onda cuadrada.

La simulación de la parte 2 demuestra que el diseño del circuito PWM ha sido

eficiente porque nos permite manejar un ancho de banda suficientemente grande.

Page 65: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

57

Esto a la vez pemúte manejar sin dificultad la lógica de disparo (ver figuras 5.4, 5.5 y

5.6).

En esencial observar que en la construcción de un puente H con transistores

bipolares, se presenta un circuito de disparo mas sencillo, pero asmusmo, se

encuentra mayores pérdidas por ciadas de tensión entre colector y emisor; en

contraste, se aprecia caídas de tensión de menos de medio voltio entre el drenador y

fuente para los MOSFET de potencia, pero el circuito de disparo es un poco mas

complejo (como se aprecia en la Figura 3.1), debido a que estos dispositivos de

conmutación, en sus presentaciones de conmutadores de potencia, en su mayoría

son únicamente de canal N.

Es importante recalcar, que la salida obtenida por el inversor diseñado (Figura

5.6) puede ser usado por aparatos domésticos que poseen rectificadores de tensión

estándares. La función principal de estos rectificadores es obtener niveles de tensión

continua. Para esto, sólo necesitan en la entrada una señal alterna de cualquier

forma, pero oscilando a 60 Hz. La salida del inversor diseñado cumple el

requerimiento de ser una señal alterna, adecuada para rectificación. Con esto se

puede afirmar, que el objetivo de este estudio se ha cumplido satisfactoriamente.

Adicionalmente, se ha añadido un filtro para recuperar la armónica fundamental de

la tensión de salida entregando de esta maneja una tensión de 220V senoidal y de

60.0Hz.

El filtro propuesto en el presente trabajo aprovecha la inductancia natural del

transformador elevador de tensión; un primer trabajo sería el diseñar un mejor filtro

de salida para recuperar la onda fundamental de 220 voltios y 60 Hz. La información

técnica del caso [7] recomienda un filtro en L con dos ramas tanque LC resonantes.

También sería interesante construir el inversor diseñado para ver su operatividad

en el mundo real.

El inversor diseñado, es adecuado para aplicaciones domésticas que reqweren

potencias de hasta 500 W aproximadamente. Inversores de mayor potencia,

requieren la selección adecuada de los MOSFET's y del circuito generador de PWM.

Entonces, otro trabajo consiste en replantear el diseño del inversor propuesto en

este estudio, con el objeto de que puedan manejarse mayores niveles de potencia

(por ejemplo, para uso industrial).

En el presente trabajo de ha diseñado el inversor cuya etapa de control ha sido

implementada con circuitos integrados analógicos y digitales discretos, un trabajo a

Page 66: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

58

futuro sería, crear, usando los mismo principios indicados en el presente informe, un

inversor cuyo circuito de control este diseñado con microcontroladores, los que

gracias a su versatilidad en la programación, interfaces y conversores análogo

digitales, reducen la implementación a la creación del programa de control, lo que

reduce inmensamente el hardware en las etapas de censado y control.

Page 67: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ANEXO A

Circuito y Simulación en PS CAD

Page 68: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

.,.�

El � sin

�,. :s. 1

��

¡ ..-+ n

1 'f' 1

CP ... VIII

� �1 : . : . :.0.01

0.4

il1�FT

L7)

� (7) F • 60.0 (Hz) de Em •

CP ... Vrms

v� v� v

���

s�����

z:�¡i\(' I\ (\ (\ !\ /\ 1' !\ /' (\ /\

-in vVvv J" J'v "�v\J-1.00

1.00 -�

1�j, /Vv/\J\Jf\M/\/\/\/\/\ ..

·Pº

\j.•. oo .;.so .J.00

- !fü[IIIIIIIIIIIII-0.010

º·'º •Y2!1.t

rn� � r, {\ f\ (\ /" I\ (\ (\ 11\ /\

. f�¡ ·J V \) V V � V \) V V � \J -0.40

�:�f vvvvvvvvv�f v�0.000 o.o;s o.oso o.o;s 0.100 0.1:s o.1so 0.11s o ;oo

� _J I ..,. o

0.005 1 -

+¡ � ")(� �!

., ··-:r- °'

o

Page 69: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ANEXO B

Simulación en Pspice

Page 70: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Ul9:QN Ul9:QM Ul9:QL Ul9:QK Ul 9 :QJ Ul9:QI Ul9:QH Ul9:QG Ul9:QF Ul9:QE: Ul9:QD

12• ov 1 ¡ 1 1 1 1 ' 7 ' 1 1 ' 1 1 1 1 ' 1 l . 1 1 1 1 1 1 1 1 1 ' 1 1 1 1

1 I 1

6. ov 1 1 1 1 1 1 ' l1 1 1 1

1 1 1 1 ! 1 .'

t '

1 1 1 1 1

1 1 1 1 1 ' 1

1 1 1 • l ; 1 • : 1 ! 1 j i .. ! 1 j I J ¡ _ . . L.J -- __ J __ ·-· ··· --- -· ·- ; ____ .

t J _ .. _ _¡____ _ _____ L_. _ ... __ , _ .. -- ..... _,_ -·· ....... -, ... ......

1-- - -ll · -t- ··· · .... 1-· 1 1 ¡ j

· · ·- •. ... • - ·--- - . ' 1

I ! ! ! 1

1

1 1 ' ; 1

1 1

QV 1 ! i ¡ �' 1 1 l 1 1 • 1 1 ___ I ______ ¡.,_ ·--· -.. -,. ---.. ,. _ _, ... ,_ .... _ , ...... _ · · -· -i

-

' ' : 1 1 1 1 i 1 . • . ...... ·-1- - ......... . 1 ; 1 •

L • ___ -- -··· -.. ____ .__,_ ··-- - - · -•-7- - --1--·;--·-¡--r 1 : ' : 1 T ····· i· -r- -- . . . --,-1 1 , ; ' , , i

t- , . +

-6.0V

-¡ t [: i -t�- : _:= = _'::t:= � =f :r=t1·=J--�·tt :::-: - -¡

- -- : =: 1- :-· ! -:-e=:·- r ¡ i

Os l.Oms 2.0ms 3.0ms 4.0ms 5.0ms 6.0ms 7.0ms 8.0ms v V(C3:l)

Figura B.1: Modulo Generador Senoidal. Divisor de frecuencias °' N

Page 71: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

s . ov 1 1 T 1 • 1 1 1 ! . ; 1 1 i ! ! - T T ; 1 1 1 11 1 • i1 i 1 1 . 1 t 1 : i ' 1 ! 1 1 1 •

- --- - ---- - --· -- -- - --1 i ! ! 1 ! 1 ¡ . ! ¡

. l1 I 1 ! . j ! ' 1 J . t 1 ·· 1 •

1 ! : ¡ - : · - -- · · -- --- ·¡ ·r · · ·r · - ··,. � · : -¡-

1 1 1 1 ' 1 • i 1 ¡ ' . f

f---+·------- -- 1 1 ; 1 1 -·

1 • • • ¡ ' 1 · _¡ · _J_I 1 1 ·

1 · • i . 1-----·-·t--·-·--"----,---t-· 171\" ' I O : 1 , : ; _____ _,_ - ' +-----+-4 . ov 1 1 1 '

� . \ 1 1

·

1

11 ! 1 i i '1· ! 1 1 , 1 1 ' ' 1 , ' i

: i \ ! r :· 1 ; - 1 · · - -1 - 1-1 i - ! . ·· t · ---r ·

----+-----�f- � • / l _., 1 1 1 ! , · ,N . � - . 1 1 __ .__ 1---·+---1-

. - l � · , -r� 1 1 1 1 1 1

. 1 ; 1 : 1 1 /

• . 11 1. 1 ; '"t· _ :- .. . 1º

1 ! .- . ¡ 1\ r _ . , . , ·i-·-·· . r ;

1 , , 1 · \ 1 L 1 i , 1 \1 1 1 . / ! · - 1

-·------

2. 0V

l. ov

0V

r--·�:-;;:· Os !Oms 20ms 30ms 40ms SOms 60ms 70ms 80ms 90ms lOúms

• V(U20A:QI , V(C2:2)

Fieura B.2: Modulo Generador Senoidal. Señales de 60Hz cuadrada v senoidal. O, vJ

Page 72: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

1 1 T

. 1

1 1 1

i • -- -- -

i

l !

2 • OV f----.. .'

! . ---- i ----- -- --- --+-------- --- ---¡----�------ --1

t+--+-� J�--j--if---\-1---+i�\-�--��� -�--1-----¡ --+1---4- .

=r- ·-·t--+---1--1-- ___ _,__1�..¡.--tl-L--t-+-+-H----f--l+---++-�-l-W____J__ -��

l. ºV¡· -r-11�-1G-111--i .. � ... tr-rlr-t\it��' =ft#�f+�ttµ�t��tjj=i11fl¡ .. -----�-- -J--_ ·r---++-l----1- i� \ --t-+---1-'1'-lli __ - � -: -- j/ j -- - /;

--------�--1

___ , --·-· 11

· 1--. • \ . . f-4

1

,--·r ..... -- -- ---, --

--"7""-\· -- ------- +--·---· --·-. ·--------- - , __-o. ov . ---- -·--\ ---- t---+----l . 1 r 1

1- ....... --- ·---- ,_.,,_,_ --- t._'.__, ___ --. l' t' / \

1 \ .. ··-· . - ,- -· . - \ -1. ov'

1

1

1 · _ _ .• .1 ; J - ··-·-· 1- . +-----1---

1 1 1 1

1------ .... -1-. .. -........ --·-'----+---1 ·,tt-+----1-...\--J "'-+-+---'-_:---+-<> t----+--! I � i J ¡

:-·----:1-· - -- . ------ -- - -- ---- - 1 -- ------ _------- -- \· 1-· --1---¡---�� --+-- . ---+-_ �--- - -----t· V�----,��- - ---=-: --�1-.t e .. -- - � _:� :

- , ov ' 1 - ' i

, 1 T---- -- -- · ---- · -- -- - --- - -- - - --- 1-· - • - - · - -

'-. 1 ' 1 '

.. ' 1

-4 1 1 ; ' '

-3 ov; · '. . i : ··· - �:.:r��,:=i==::�=�1:�=+=t=- _·--r�t:====��+��l=:� __ =: �=J=-l ==l-::· .. : ! ' i 1 ; •

1 1' 1 ' .

' ' ¡ 1 !

Os

· ' '

. ' 1

20ms 40ms '50ms 80ms lOOms 120ms u V(RrLoad:2) ,. V (VSIN)

Figura B.3: Modulo Generador Senoidal. Señal senoidal y rectificada. °'

Page 73: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

PWM

PWM

1 1

Ílll!"""""'""' 1

1

!

1

1

1

!

1 1 1

1 ¡ 1

1 1

i

1

Os

! ! ' :

1 1 i i '

; i !

i i i

1 i ! ;

i i

! !¡ !

i i i

1

1

1 1

1 i ' 1

i ¡ 1

1

1

! i j ! 1 i

!1 i i

1 i ¡

1 i i 1

!i 1 i1 ' i 1

¡ 1

'

'

i ¡ ' '

¡;

1

1 1

1 1

¡ i ! 1111 ' ! !

1 1 ! ! ¡1 1 !

1 1 ; 1 ¡ i 1

1 1

1 1

i: 1

' '

1

¡'

' :

1 !

1

1 1 1 1 i

1 1 1 ! 1

1

1 ' i ¡ 1 ! ! 1 1 !

i 1

i ! l i 1

1;

1 1

1

i 1 1

i 1 i 1 1 1 ¡1

i i1 5ms lOms 15ms

Figura B.4: Modulo Generador Senoidal. Tren de pulsos PWM

, .................. ¡

1

! 1 ! '

¡ i ! ¡ 1 i

1 ! ! 1

1 i 1 1

1 1 i 1

! ! 1 ! ; ' ' i i i

1

'

!

20ms

°' \J1

Page 74: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

PWM

PWM

-,- �-,------ ! �I ' ¡r i¡: n 1 • • 1 1 1 1J'JLJ

1 1 1

: : 1 i ! !

1 1 ! 1 1 ! 1 1 1 1 •

1 ' 1 i ! . i 1 1 1 ; ' 1 : ! ; i il 1 1 \ 1 1

1 • ; 1 ! 1 ! 1 ' 1

1

1 1 1 ! 1 1 1 !

·11. 1 i i

1

1 1 1 1 !

\ 1 ' 1 1

: 1 !

1 . 1 ¡ 1 ' 1 ' ' 1 1 1 j 1 '

i 11

1

1

1 !

i1 1 1 ' .

1 1 !

1 1

1 i11 1 i

1 11

1 1

i ' i 111 11

1

1 ; 1

1

1 1 1 1

1 1

11i1!

1 7.073ms 7.500ms 8.000ms 8.500ms 9.000ms

Figura B.5: Modulo Generador Senoidal. Vista del tren de pulsos PWM (ampliación)

9.500ms

°' °'

Page 75: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

"" JOOiffi11lii�- ' . . . . . i 1 1I� 1 1 1 � . � tt

1 ! -----U-•. ,.

¡;

!�mil fi; ••. .mfríl'"

• I! I' 1

1: ,;,¡ 1 1

1! ! l:L' i ' :

1 OV -7-41-1-H+l+t+HI i 11: 111111111' 1'11111 ', -··+1-H• ij 1¡ l.

•t!-1111·11· L .... 11 , ............ H·I l•I'·•·•·

IH��l4-ll�mll-R-IHH+l,l+H-I -HHH+H-HH+H=::�::::::illJumooüR-!1414H'1

8V +-H+HI--H+H+II-H-11-IHHHHH

1 ' 1:

1 i rl 11

-·-um 1

+-r-., i

..... + .. -·r··--r··: 1

·•·H·:tffH·lHlttffttlHHlfl1 ltl lttttttttlttt ltl ttttttttttt ttttttffil·• •-- + --··--+-t-1-1-11-t·t-1-t-f-t-lt-H·i-f-l!iT -1-.. --+-1

6V, ffl f!HHHHl·IHl-11-Hál·i�H-1-HH-l+H-H+I-H-H-HH-l+H-H+H+H-H-l+H+HHI-HI-HH-ll ll ll ll ll ll ll lt 11 !11 HHHHflHHHttlHtlHtlllHHl�tHHtlff-lltltHfHH++H-H+t+··-+-·

4V

2V-

ilit .. ltHltlllttt!HllltltllHHHHll�l�.11! IJ

!

·•·HKHIH-1-1-H-IH·llff-lHHH\ttttl

� . ]OOH•·•·

ov.!

r i 11 I¡ , . 1 . 1 jt !· �I ·: ·1 11-1-11-ll-i-l-1 t-l-�1-i-U-U-1-U-I-W.J.-1-U-l-1+1-1-1-l-U-1-l-

ili� 1 1 J"¡ .l .1:IIDllill· it., l111�ij 1 ll :I 111 ,l ¡ • ' • ; ' 1 .Lll...u_µ.u_LJ.

B.23ms 9.0Oms 1O.0Oms

u V(Ul:ERR+' V(U4A:Y)

11.OOms 12.OOms 13. OOms

�Jl.11.!!.rn 14.OOms

Figrura B.6: Modulación PWM de una comba de la onda senoidal.

11�-JH¡H H-• ••

H-H-fHI-H-IH·l+f-1•1-1·1 .. 1·•-

1 H ílJ1�1�..: �··

1 !I íl 1 ! ti j '

¡ 1

1 ,-1----r ! 1 ,..L ... t-_,. ___ i_

1

1 ¡·

.... i .. ¡

-1 !i

15.OOms 16. Oüms 16. 89ms

°'-.J

Page 76: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

PWM PWM

1 l1:111111111111l1111to•

1

1

i 1 1 ¡1 i ¡ 1 1 '

1 !11111:

1 1 1

1 1 1

1 ! 1 1 i 1 ¡ 1 1

15V I

111111111!11,111 l ! 1

1 ' 1 1

1 ' , ........ ! ..... ,111 1 i 1 :

1111111111111n11111Jut ' 1 111 "' """""'" 1 1

1 1

1 1 : '

1 1 1 : 1 1 !

'

¡ i ! 1 i 1 i

1 1 1 1 1 1 i 1 1

----- 1-- _____ ,F___ _____j__ --�-.. - 1 f! : i

1 ' 1 !

1 • ,L ____ l____ --·-·---�- .

\ ¡ 1 ' 1 j ,

. -

··-'-- l 1

'

- -+--;----+----_j__- 1

1 ov!

-- -- - - -- --------f--- ----- ---•-¡

--- . --!-----·--------t----lf---i- ... 1 • --1--- - . - i

' -----·--------- --- ···, -----, --- ---- -----t---- ----- ______ .:_, -----·

' • 1 !------------ ----------·-----!---' ---- ___ _;,_ _____ 1 :

' 1 ! ,- . -- 1

1 1 ---+----· -j----1--1---------+---. -··-1 ,_, _____ f------ -t-------!

sv l Oo 1 1 1 T 1 ; 1 I

i 1 1 •

��-= :�--� :_�:��t� ������;�:-:·.�r�- .:;���r;��t•:_1• =�.;1::;�:-=r=-:� .·;-i;i:-!::=�(j��:··· 1 7 . ' ' J l ' 1 1 0V1"'S:::--¡------t----t----+-----���--+---¡o,_;-======�

i-:cc .. ·r-- --��:-_ ) __ - ----1--- :_ _ __ [_C�b1- !�-/--

: -+ :·_····- :- -=:::_:r:�:-�_::::l==·=:=:_-tt=1J-=+-1- :_'. •··· r .r-sv

Os Sms u V(Vs�:+,Vsl:+\ V!U6A:A)

lOms 15ms

Figura B.7: Señales de entrada y de salida en el modulador PWM.

20ms 25ms

°' 00

Page 77: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

:. 5·.- --------------------------------------------------------------------

" e..

. �

.. ::1 .. 11uiUHHtl

-':.

.. . ... . . - . - , ··-. -

··- - ··- ·--------

-- ·- - --- ·--------

••u- : :-.:: : ::.:

Figun B.8: 5imuhción en el circuito conmutador.

- :-:-: . .= - : :':".5 - - ::.:

Page 78: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

� i·· -

_ _L

> u, ,..;

> o .....

U)

> o ,..; 1

ül E o o Ú)

v)

r·-

'fl 5> v, ,...., ,

u ·-, •

� ,-1

70

Page 79: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

• 1 ! 1111 '

--t-r --·-+--L -·-

-··-, !I

1 1 l -++t:11=. =r�H-tt�[� __ __é___

,

2V·-

! . · '

! : . .

' '

i 1

��

: 1

. -· .. \. �-=r:�¡-+ : ; ' 1 1

ov .

--i-�+-t-t-t=J�!-�+--t--r -i __ : __ --�··· -+-· -··· .... _ ·-· • -·-··

··---+·---i-----, !

: 1 1 . . -1 ... . t--i------r- !

_j_ __ l-

j ·-+·--

1 ¡1�+-t+t11_=-�- --···· ... �� ......... ¡. - - - -¡

+

� 1

i ! -·--·-7 -· - ·-· ··j . i -·

4VI 1

• : ! 1

... ...

1 ' ! ,

.... ··1· ! .

. : ' i

�"'- "

¡ !1 1

' j ·--····---! ,

, 1 J. ..... ·--;-· 1 : ! ·-···-l.··-,. .... 1 1 '

·:·- ! 1 : -:- 1

• • : 1 '

-

:

E-OKH.:.

'1

40KH: 0V ·

20KH: (1H: ::i V ( RL: 1, RL: 2 \

SOKH:

. ' . J __ ¡I i ..

1

-.

lOOKH: 1201<:H: 14OKH: 160KH::: 18OKH: 2OOKH:::

Figura B.9: Salida del conmutador de potencia, respuesta en frecuencia. -J -

Page 80: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

1.'95Vr , .. . ! 1 : 1 ' '

··-·-·-·. . .. • . :... . ' . - . . - . . J.._ .... ; ... ····+.l ¡ 1 1 1 1 ' : 1 1 : 1 ' 1 1 1 • . •

;r·�\ · · : · · ·: · · · · · ¡ Y/�1 · · r -· . . i ..

. 1 ····- �·-· 1·-11

·-··--\----·T--·-- -·- ---·---t-,-t----r·\·�---:-- ··7 \ : : ! : 1 1 . : : ' 1 1 . \ i ! / i · i ! l ooov . ' ' · · I \ • \ . : : \ ' 1 : 1 '

ov

¡ : \ : 1 / 1 1 : : • : \ : ' : d, 1 1 \. : : 1 1

: . i 1 ¡···· ·,·, :·· :· ]/ r··r·· \ ···¡-· ·: ·,·.

1

' •---:- -� ,-7 -;-,--\ ' -;----r--r--1---\-· ---r ·--:--¡- .. - ·rr· - ··r\:.·-··-J---¡- ·····-+------L·----�···-·· --71-·t··-- -·-�- ·--··�--[' ··-··· - -·J-··+·-···�·---··J .. .\ ...' ··- -'-· f -+--\ il----1- -+· ;_\ � ---1 .. ........ ·'-·+- ! '

: \ 1

i ' ' \ i • : • 1 \

-¡ • t·-,

L ........ .

. . 1 \ ·· ·· 1¡ ·1 , 1 1 I: : 1

1 , ! : 1 1

···:•••••!···\ ........ L ....•... JI -ji

-:

·-· ---t,i·-···[········ ···.··Y··j-····-'········1····-·r·······;\··J··.·.··-········1.¡·.J·• : 1

1 1 � : ' i 1 ' 1 i 1 1 ' . : 1 1 i i -····· ·····,······· 1

······,············ ····,·····-·-·--t--··-··· ·····-·-·······, ·\ --·--, ·-·· ··· ·····/- ··· ····-:··········· ··-············· ····· ·· ········\··r················ ·1 ¡ ··-···

1 ' 1 . 1 1 : \ i : ' 1 \ ¡ y 1 ' . · _ LL L _ j : J 1 \: --1. ooov 1 ¡· \ ! í ! ! ' ! \ ! l 7 i ' 1

\

.

/' . \ i / 1 ' ! \ / : 1 ' • ! ····-·····. ·············f·-·-· ...'

\[

··!·······/· ......... L·-·····--7·-·-·····-·--··········�··-·-·tJ···---' ····-··-····+·-··--:-....... . ....... L.' ...... --···i . .. ····'·-· .�¡ .....'

1 i 1 ' 1 1 : 1 ! \ ' / 1 , • · I 1 . ' 1 . · 1 1

, : , 1

' ' \ � : ................. ··; ............... J ..... ·--····¡···- ·-········;-··--····e--··-········-···;--····· -¡-· . . , ....... .... ..... , ... ........... .. ........ '

1"'. ·--· .. ¡···· '-,.� ... ·¡ .

i 1' 1' ¡· : : : ! ' , , 1 , 1 , ¡ : : . : : . .. ; . ¡ ! ¡ i 1 1 ¡ i i -l.733V+··-"- -·-·- ·--·-·-..: __ -· . -----··-: ---·--' ---�----'---..:-�--·,-···-··---·-'···

2.50s 2.Sls 2. �)2 s 2. S3s

J '.'(R'.2:1¡ ·. \/iR7:2) ,. V('.'RMS)

Figura B.1 O: Simulación del circuito de realimentación, se aprecia

v�in del transformador controlador, 1 V sin I y V RMS (1 voltio).

2.S4:::; 2. s r:,s

-.J N

Page 81: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ANEXO C

Circuitos Electrónicos

Page 82: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

ius VCC

U4

Vslnde)) 1

o

o

.o,n

R13

;l>--+----(<vsln

·:. � ¡, .-,· P.;

o

ille

,ize

A

1ate:

e, C2

470n 470n

o

Modulo �nerador Senoidal con Cristal de Cuarzo

Oocumanl Number ,.o

SundoLMay 01, .2005_ h�t of

IV ,.o

-.J

"'"

Page 83: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

Vcc+

1Vcc+

r-:::. ��2V

Vcc-

V!n

-=-o

-=-o

-=-o

R1

10k

m.

-=-o

illo

izo A

1ate:

V!iin recti!icada

-=-o

R11

100k

Rectificador de onda completa con opams

Document Number 1.0

Sunday May 01 2005 !>e-'.t .2!

ev

!.O

o

e

-...J \Jl

Page 84: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

o

e

jvcc•

.

Vdc· -12V

T

Vcc-

R15

100k

Vcc+

-=-o

-=-o

R22 VsinRect �

80k

-=-o r o;r..; :.�·: .:<!:-::.�·:·

;,,; Vsin

))Tm

Rab 2k

1tle

iza

A

�:

.0ln

Vec+

C3

Modulador PWM

Oocument Number 1.0

�.!::�dJ ?i·:;.¡

RLoad1

Rload2

_10k

-=-o

))Trig

�Moy23.TOOS hoet C/,1

••

1.0

o

-..J

°'

Page 85: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

o VQO

R21

liOO R20 500

�ON �OFF

01

-=-o

V24

R8 y R23 02

30 �

��

Q2N2222� � R22

·..._

.I_ C15 M:I

-=-o 2211 r 1

IRfZM IAFZ.U

Rloed

Mr-500

IRFZ.U

1

1�

30 Q9

02N2907

-··

M2 . .,J IAl'Z.U

7 �r--""

-=-o

1

1

1 21<

C2

I 3.

circuito amplificador de potencia

Docurnont NumMr 1.0

Sunday May 01

r

""' 01

ev 1.0

le

Page 86: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

o

Rl

D1 FU

Rperdida TXcontrol

�>7 t:r,·: ;.::.

Vrof5V

2k

'='"o -:-o

Tr.::.:\:;f. �r. ,1·J .·•

je r::.r.t:c!.

-:-o

Vcc-

1 Y.

«>

R16 9k

l '.a e-:-.1.:-a-b

de: r;¡:�

�::.�:rl:ril'.;··!' •:r�,� ;". !�;r,;z,:-,-� itle

IZO

A

:ate.

o

b:.<:-;t.:1.cb�

Circuito de Realimentac10n

Oocument Number 1.0

Sundav. M.iv os 200s

J:t>-+---((Vrm1

h�t

ov 1 0

º'

o

--.J

(:,:)

Page 87: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

BIBLIOGRAFÍA

[1] Arregui, Macario García. Diseño Electrónico Asistido por Computadora

Oread/ SDT. Alfaomega, 1995.

[2] Belove, Donald Schilling Charles. Circuitos Electrónicos Discretos e

Integrados. McGraw Hill, 1994.

[3] Corporation, Nacional Semiconductor. Semiconductor Guide. 1993.

[4] ECG. Semiconductors Master Replacement Guide. 1995.

[5] Horenstein, Mark. Microelectrónica y Dispositivos Electrónicos. Prentice Hall,

1995.

[6] Maloney, Timothy J. Electrónica Industrial Moderna. Prentice Hall, 1997.

[7] Martinez, J.A. Gualda S. Electrónica Industrial . Alfaomega, 1995.

[8] Rashid, Muhammad H. Electrónica de Potencia. Prentice Hall, 1995.

[9] Roden, Savant. Electronic Design. Addison Wesley Iberoamericana, 1992.

[10] Rojas Moreno, Arturo. Control Avanzado-Diseño y Aplicaciones en Tiempo

Real . Publicación Independiente, 2001.

Web Consultadas

1. 4QD-TEC: Electronics Circuits

http:/ /www.4qdtec.com/index.html

2. High Resolution PWM Generator and Inverter Controller using 8088 uP

http:/ /library.k.mitnb.ac.th/thes/engineer/MEE/mee0001e.html

Page 88: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

3. Asynchronous Machine (power System Blockset)

http:/ /www.ee.umr.edu/matlabhelp/toolbox/powersys/asynchronous.

4. Base de conocimiento en electrónica

http:/ /www.eie.ucr.ac.cr/prodida/baseht/hija/321 apli4.htm

5. Circuitos Integrados

http://www.ee.pucrs.br/-fdosreis/potencia/ci1524.htm

6. Configuración Darlington

http:/ /voltio.ujaen.es/esp/transis/t2.ht

7. Convertidores Resonantes

http:/ /tarcoles.eie.ucr.ac.cr/prodida/baseht/hija/227te7.htm

8. El temporizador digital

http:/ /www.hispavila.com/3ds/lecciones/lecc7part2.htm

9. Electrónica de potencia

http:/ /voltio.ujaen.es/ esp/index.htm

10. Fairchild Semiconductor - Parametric Search - View List - MOSFET

http://parametric.fairchildsemi.com/ viewlist.asp

11. Fuente Conmutada

http:/ /tarcoles.eie.ucr.ac.cr/prodida/baseht/hija/201cirO6.htm

12. HomeBrew Inverter

http:/ /vandewater.freeyellow.com/inverter.html

13. lnverter Basics

http:/ /www.power.com/lnfoWeb/designcenter/articles/lnverters.htm

14. Inverter design basics y waveforms

http:/ /vandewater.freeyellow.com/basics.html

15. Manuales en línea

http://zeus.uam.mx/ ccie/ manuales.htm

80

Page 89: UNIVERSIDAD NACIONAL DE INGENIERÍAcybertesis.uni.edu.pe/bitstream/uni/10728/1/ruiz_te.pdf · modulador por ancho de pulso (PWM), el circuito conmutador de potencia, el filtro de

16. Nationai PIN LM3524D - Regulating Pulse Width Modulator

http://www.national.com/pf/LM/LM3524D.html

17. One-Phase Inverter Bridge with PWM Control, Ideal Switches Inductive Load

http:/ /www.simplorer.com/ english/ sim and plorer-featureinverter-pwm.htm

18. Oscilador de Relaxao COM 741

http://members.truepath.com/U-R-WINNERlosciladorelaxa.htm

19. Philips Semiconductors: Product information on SG3524, control circuit

http:/ /www.electronica98.com/pip/SG3524

20. PWM lnverter Research by Greg Hunter

http:/ /www.eng.uts.edu.au/-gregh/pwm.html

81

21. Reliance Electric Application Considerations for PWM Inverter- fed Low­

voltage Induction Motors. D-7157

http:/ /www.reliance.com/prodserv / standriv / d7157 / d7157-2.htttl

22. Reliance Motor Lead Length White Paper-D7172-1

http:/ /www.reliance.com/prodserv / standriv / d7172/ d7172.htm

23. Rizadores

http:/ /uvirtual.ing.ucv.edu/ datos/ facultades/ tecnica/ datoscap 7 .htm

24. Transistores bipolares (BJT)

http:/ /voltio.ujaen.es/esp/transis/tl.htm

25. Transistores IGBT

http:/ /voltio.ujaen.es/esp/transis/t4.htm

26. Transistores unipolares (MOSFET)

http://voltio.ujaen.es/ esp / transis / t3.htm