tema 4: módulos combinacionales básicos · 2016-09-14 · tema 4: módulos combinacionales...

70
José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid Tema 4: Módulos combinacionales básicos Fundamentos de computadores

Upload: others

Post on 13-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

José Manuel Mendías CuadrosDpto. Arquitectura de Computadores y AutomáticaUniversidad Complutense de Madrid

Tema 4:Módulos combinacionales básicosFundamentos de computadores

Page 2: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

2

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Decodificador.Multiplexor. Bus. Codificador. ROM (Read Ony Memory). Sumador/Restador.  Comparador. ALU (Arithmetic Logic Unit).Transparencias basadas en los libros: 

• R. Hermida, F. Sánchez y E. del Corral. Fundamentos de computadores.• D. Gajsky. Principios de diseño digital.

Page 3: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

3

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

E

2n-1

0 z0

z2 -1

0

n-1xn-1

x0

... ...

n

si la entrada toma la configuración binaria p, la salida (p)10‐ésima se activa

zi = E·mi(x)

Decodificador n a 2n

x n entradas de datos

z 2n salidas de datos

E 1 entrada de capacitación (op)

zi =1 si E=1 y (x)10 = i

0 en caso contrario

Page 4: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

4

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Implementación directax1 x0 E

z0

z1

z2

z3

Decodificador 2 a 4

Page 5: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

5

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

E

Page 6: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

6

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

E30 21

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

Page 7: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

7

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

E30 21

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

Page 8: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

8

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:1. Habilitar selectivamente 1 de n subcomponentes cada uno 

asociado a un índice (dirección) binaria.2. Implementar directamente SPC usando puertas OR adicionales 

(que sumen cada unos de los mintérminos de la FC).

3

0

2

10

1

x0x1

x1 x0 x1 x0

2

Page 9: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

9

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

...

Multiplexor 2n a 1

z =xi si E=1 y (s)10 = i

0 en caso contrario

2n-1

0x0

x2 -1

z

n

E

0n-1

...

s0sn-1

si la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

z = E·( xi·mi(s) )

x 2n entradas de datos 

s n entradas de control

E 1 entrada de capacitación (op)

z 1 salida de datos

Page 10: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

10

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

x0

x1

x2

x3

s0s1 E

z

Implementación directaMultiplexor 4 a 1

Page 11: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

11

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

z

Page 12: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

12

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

0101

x2x3

s0

s1

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

z

Page 13: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

13

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

0101

01

z

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

Page 14: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

14

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

0101

01

z

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

Page 15: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

15

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:1. Conectar selectivamente varias entradas una misma salida.2. Implementar directamente FC que tengan el mismo número de 

variables que entradas de control (transcribiendo su tabla de verdad).3. Implementar funciones de manera que las EC a simplificar tengan 

menos variables.x1x0

x3x20 1 23

00

01

00 01

4 5 67

11 10

11

10

12 13 1415

8 9 1011

0

1

f2

31

00

1

0

2

31

10

x0x1

x1 x010

2 3

x3x2

01

x0x1f0 f1 f3 f2

f0

f1

f2

f3

Page 16: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

16

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

...

Multiplexor 2n a 1 de k bits

2n-1

0x0

x2 -1

z

n

0n-1

...

s0sn-1

k

k

ksi la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

x 2n entradas de datos de k bits

s n entradas de control

E 1 entrada de capacitación (op)

z 1 salida de datos de k bits

E

z =xi si E=1 y (s)10 = i

0 en caso contrario

zj = E·( xij·mi(s) )

Page 17: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

17

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

b1a1

s

b0a0b3a3 b2a2

z3 z2 z1 z0

Multiplexor 2 a 1 de 4 bits

s

a

z

01

b

4 4

4

Page 18: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

18

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos a C2 43 91 7D

b 01 AB 77 A8 68

s

s

b

z

01

a

8 8

8

z

Page 19: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

19

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos a C2 43 91 7D

b 01 AB 77 A8 68

z C2

retardo propagación

s

b

z

01

a

8 8

8

s

Page 20: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

20

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos a C2 43 91 7D

b 01 AB 77 A8 68

z C2 43

retardo propagación

s

b

z

01

a

8 8

8

s

Page 21: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

21

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos a C2 43 91 7D

b 01 AB 77 A8 68

z C2 43 AB

retardo propagación

s

b

z

01

a

8 8

8

s

Page 22: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

22

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos a C2 43 91 7D

b 01 AB 77 A8 68

z C2 43 AB 77 A8 91 7D

retardo propagación

s

b

z

01

a

8 8

8

s

Page 23: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

23

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos k

k

k

...

0

0n-1

sn-1 s0

2n-1

x0

x2 -1n

z

...

...

si la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

x 2n entradas de datos de k bits

s n entradas de control

z 1 salida de datos de k bits

Page 24: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

24

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

......

Codificador 2n a n

x 2n entradas de datos 

z n salidas de datos

E 1 entrada de capacitación (op)

A 1 salida de actividad

z =(i)2 si E=1 y xi = 1 y j, j≠i, xj=0

0 en caso contrario

A =1 si E=1 y i, xi=1

0 en caso contrarioA

2n‐1

0x0

x2 -1

0

n‐1 zn-1

z0

n

E

zi = E·( xj ) con j { (an-1...a0)2 / ai = 1 }A = E·( xi )

si se activa la entrada p‐ésima y solo esa, la salida codifica p en binario

Page 25: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

25

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

x0x7 E

z0

z1

z2

A

entrada activada z2 z1 z0

x0 0 0 0x1 0 0 1x2 0 1 0x3 0 1 1x4 1 0 0x5 1 0 1x6 1 1 0x7 1 1 1

Implementación directaCodificador  8 a 3

Page 26: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

26

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

......

Codificador deprioridad 2n a n

A

2n-1

0x0

x2 ‐1

0

n-1 zn‐1

z0

n

Ei

Eo

x 2n entradas de datos 

z n salidas de datos

Ei 1 entrada de capacitación (op)

Eo 1 salida de capacitación (op)

A 1 salida de actividad

la salida codifica en binario la entrada activa de más peso

z =(i)2 si Ei=1 y xi = 1 y j, j>i, xj=0

0 en caso contrario

A =1 si Ei=1 y i, xi=1

0 en caso contrario

Eo =1 si Ei=1 y j, xj = 0 

0 en caso contrario

Page 27: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

27

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01

n-1

E

0

1

2 -1n

z0

z1

zn-1

v2 -1n

x0

x1

x2 -1n

Res

oluc

ión

depr

iorid

ades

Ei

A

Eo

A

v1

v0

vi = xi·( xj ) con j > xi

Page 28: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

28

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

x0

x1

x2

x3

v0

v1

v2

v3

Implementación directaResolución de prioridades 

Codificador 4 a 2

Page 29: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

29

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

z2z3

A

z0z1

Implementación en árbol

Codificador 16 a 4

Page 30: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

30

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 31: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

31

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

A

3 02 1

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 32: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

32

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

A

3 02 1

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 33: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

33

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 34: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

34

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 35: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

35

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 36: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

36

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 37: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

37

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 38: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

38

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:1. Asociar un código a cada componente de un vector de entrada.

A3

0

2

1 0

1

RSW0

RSW1

RSW2

RSW3

Tecl

ado

linea

l

código de latecla pulsada

indica si hay algunatecla pulsada

Page 39: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

39

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

RO

Md

OE

a

a 1 entrada de dirección de k bits 

d 1 salida de datos de n bits

CE 1 entrada de capacitación (op)

OE 1 entrada de capacitación de lectura (op)

dispositivo programable capaz de implementar n FC de k variables 

almacenando sus tablas de verdad 

Array de 2kpuertas AND de k entradas

fijas

Array de n puertas OR 

de 2k entradas programables

2k na d

k

k n

ROM 2k×n(2k palabras de n bits)

memoria no volátil de capaz de almacenar 2k palabras de n bits cada una

CE

Page 40: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

40

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

a1 a0

d0d1d2

Array de puertas OR

Array de puertas AND

fija programable

ROM 4×3

Page 41: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

41

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

CE

Array de puertas OR

Array de puertas AND

OEfija programable d0d1d2

a1 a0

ROM 4×3

Page 42: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

42

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

fija programable

0

3

1

21

0

Decodificador 2 a 4

d0d1d2

a1 a0

ROM 4×3

Page 43: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

43

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:o Implementar directamente FC almacenando su tabla de verdad.

a1 a0 d2 d1 d00 0 0 1 1 0

1 0 1 1 1 0

2 1 0 0 1 1

3 1 1 1 0 0

d0d1d2

0

3

1

21

0

a1

a0110110011100

d0d1d2

a1

a0

ROM

d0 = a1∙a0

d1 = a1 + a0

d2 = a1 + a0

Page 44: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

44

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Mask Programmable ROMo Se programa durante la fabricación del chip. o No puede borrarse/reprogramarse.

PROM (Programmable ROM)o Se programa eléctricamente usando un programador.o No puede borrarse/reprogramarse.

EPROM (Erasable Programmable ROM)o Se programa eléctricamente usando un progamador.o Se borra (chip completo) exponiéndola a luz ultravioleta.

EEPROM (Electrically Erasable Programmable ROM) o Se programa/borra (palabra) eléctricamente usando un programador.

Flash memoryo Se programa/borra (bloque) eléctricamente sin requerir programador.

Page 45: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

45

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

La capacidad de las memorias se mide en bytes (8 bits)o Cuando el número de bytes es alto, se utilizan prefijos.

Históricamente, los prefijos indican cantidades potencias de 2• Kilobyte (KB)  = 210 bytes  = 1.024 bytes• Megabyte (MB)  = 220 bytes  = 1.048.576 bytes• Gigabyte (GB)  = 230 bytes  = 1.073.741.824 bytes

Sin embargo, desde hace algunos años su significado se ha homogeneizado con el definido en el Sistema Internacional de unidades (potencias de 10)

• Kilobyte (kB)  = 103 bytes  = 1.000 bytes• Megabyte (MB)  = 106 bytes  = 1.000.000 bytes• Gigabyte (GB)  = 109 bytes  = 1.000.000.000 bytes

o Y se han definido nuevos prefijos para indicar las potencias de 2 • Kibibyte (KiB)  = 210 bytes  = 1.024 bytes• Mebibyte (MiB)  = 220 bytes  = 1.048.576 bytes• Gibibyte (GiB)  = 230 bytes  = 1.073.741.824 bytes

o No obstante, todavía no está generalizado el uso de los nuevos prefijos .

Page 46: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

46

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varias ROM se pueden componer para comportarse como una ROM de mayor anchura de palabra.

a10 10

8

ROM 210×8

8

ROM 210×8

10

8

ROM 210×8

10

8

ROM 210×8

32

dImplementación multimóduloROM 210×32 (4 KiB) usando 4 ROM 210×8 (1 KiB)

Page 47: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

47

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varias ROM se pueden componer para comportarse como una ROM de mayor profundidad.

10

8

ROM 210×8

8

ROM 210×8

10

8

ROM 210×8

10

8

ROM 210×8

bus

OE OE OE OE

a9..0

8

a11..10

01232

10

dImplementación multimódulo

ROM 212×8 (4 KiB) usando 4 ROM 210×8 (1 KiB)

Page 48: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

48

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

PLA

ROM

PAL

CPLD

interconexiones(re)programables

Page 49: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

49

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos +

ba

s

cico

nn

n

a, b 2 entradas de datos de n bits

ci 1 entrada de acarreo

s 1 salida de datos de n bits

co 1 salida de acarreo

realiza la suma binaria de a + b + ci

s = (a + b + ci) mod 2n

co =1 (a + b + ci) ≥ 2n

0 en caso contrario

Page 50: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

50

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Implementación conpropagación de acarreos

FA

s0

b0a0

Sumador de 4 bitsciFA

s1

b1a1

FA

s2

b2a2

FA

s3

b3a3

co

s0biai

cico

si

FA

(*) a·b + a·c + b·c = a·b + (a·b·c + a·b·c) + (a·b·c + a·b·c) = = a·b + a·b·c + a·b·c = a·b + (a·b + a·b)·c

si = (ai bi) ci

co = ai·bi + ai·ci + bi·ci= ai·bi + (ai bi )·ci (*)

ci ai bi co si0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1

Page 51: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

51

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varios sumadores se pueden componer en serie para para comportarse como un sumador de mayor anchura.

+

b3..0a3..0

s3..0

ci+

b7..4a7..4

s7..4

4 4 4 4

44

cO

Implementación serieSumador de 8 bits

Page 52: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

52

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos -

ba

r

cico

nn

n

a, b 2 entradas de datos de n bits

ci 1 entrada de acarreo

r 1 salida de datos de n bits

co 1 salida de acarreo

realiza la resta binaria de a ‐ b ‐ ci

s = (a ‐ b ‐ ci) mod 2n

co =1 (a ‐ b ‐ ci) < 0

0 en caso contrario

Page 53: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

53

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Implementación conpropagación de acarreos

Restador de 4 bits

ri = (ai bi) ci

co = ai·bi + ai·ci + bi·ci

biai

cico

si

FSci ai bi co ri0 0 0 0 00 0 1 1 10 1 0 0 10 1 1 0 01 0 0 1 11 0 1 1 01 1 0 0 01 1 1 1 1

= ai·bi + (ai bi )·ci

FS

s0

b0a0

ciFS

s1

b1a1

FS

s2

b2a2

FS

s3

b3a3

co

Page 54: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

54

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varios restadores se pueden componer en serie para para comportarse como un restador de mayor anchura.

-

b3..0a3..0

s3..0

ci-

b7..4a7..4

s7..4

4 4 4 4

44

cO

Implementación serieRestador de 8 bits

Page 55: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

55

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

a, b 2 entradas de datos de n bits

Gi, Li 2 entrada de acarreo

Go, Lo 2 salidas de comparación

compara 2 números binarios

=/</>

bann

Go Lo

GiLi

Go =1 si (a>b) o (a=b y Gi>Li)

0 en caso contrario

Lo =1 si (a<b) o (a=b y Gi<Li)

0 en caso contrario

a = b

a ≠ ba > b

a < b

Page 56: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

56

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

ai bi Gi Li Go Lo0 0 0 0 0 00 0 0 1 0 10 0 1 0 1 00 0 1 1 0 00 1 0 0 0 10 1 0 1 0 10 1 1 0 0 10 1 1 1 0 11 0 0 0 1 01 0 0 1 1 01 0 1 0 1 01 0 1 1 1 01 1 0 0 0 01 1 0 1 0 11 1 1 0 1 01 1 1 1 0 0

biai

Go

Lo

LiGi

CMP

Page 57: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

57

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Implementación en serie

CMP

b0a0

Comparador de 4 bitsCMP

b1a1

CMP

b2a2b3a3

GoLo

CMP

b0a0b1a1

CMP

b2a2b3a3

CMPGoLo

Implementación en árbolComparador de 4 bits

Page 58: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

58

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

a, b 2 entradas de datos de n bits

op 1 entrada de selección de operación

s 1 salida de datos de n bits

ov 1 salida de overflow

realiza la suma/resta en a y b(interpretados en C2)

+/-

ba

s

op

nn

n

ov

s =a + b si op = 0

= a + (b op) + opa + b + 1  si op = 1

ov =

1 (bn‐1 op)=0 y an‐1=0 y sn‐1=1 ó(bn‐1 op)=1 y an‐1=1 y sn‐1=0 

0 en caso contrario

a – b = a + (– b) =C2 a + C2(b) = a + C1(b) + 1 = a + b + 1  

Page 59: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

59

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos FA

s0

b0a0

FA

s1

b1a1

FA

s2

b2a2

FA

s3

b3a3

op

ov

Implementación conpropagación de acarreosSumador/restador de 4 bits

Page 60: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

60

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos FA

s0

b0a0

FA

s1

b1a1

FA

s2

b2a2

FA

s3

b3a3

op

Implementación conpropagación de acarreosSumador/restador de 4 bits

ov

Page 61: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

61

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

a, b 2 entradas de datos de n bits

m 1 entrada de selección de modo

op 1 entrada de selección de operación

s 1 salida de datos de n bits

c 1 salida de acarreo

v 1 salida de overflow

z 1 salida de detección de cero

n 1 salida de detección de negativo

ba

s

m

nn

n

c

opvz ALU

2

m op1 op0 z

1 0 0 a + b1 0 1 a – 1 = a + (‐1) =C2 a + 11 1 0 a – b1 1 1 a + 1 = a – (‐1) =C2 a – 1

m op1 op0 z

0 0 0 not( a )0 0 1 and( a, b )0 1 0 a0 1 1 or( a, b )

operaciones aritméticasoperaciones lógicas

n

Page 62: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

62

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos +/- op1

m

s

10

n

30 21

0

1op1op0

n n nn n n

a b

z

ovc

n n

op0

n

n = sn-1

Page 63: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

63

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Los módulos presentan algunas características interesantes:o Tienen estructuras genéricas fácilmente escalables.o Procesan palabras de datos y no solo bits individuales.o Pueden realizar distintas funciones según el valor de ciertas entradas 

de control.o Tienen funcionalidades abstractas que permiten diseñar/describir de 

manera estructurada sistemas complejos sin tener recurrir a EC/FC:• Basta con interconectarlos sin crear realimentaciones• Y usar discrecionalmente puertas (glue logic) para adaptar señales.

Page 64: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

64

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

‐x si x < 0

Page 65: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

65

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

10

n

x

n-1

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

‐x si x < 0

z

n

Page 66: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

66

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

10

n

x

n-1

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

‐x si x < 0

z

n

Page 67: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

67

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

+

10

n

n n

x

n

n

0

1

z

n-1

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

‐x si x < 0

Page 68: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

68

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

+

10

n

n n

x

n

0

1

z

n-1

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

‐x si x < 0

n

Page 69: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

69

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Biblioteca de celdas: CMOS 90 nm

Módulo Área (m2)

Retardo (ps)

Consumoestático(nW)

Consumodinámico(nW/MHz)

11.0592  223 84 8639

23.0400 250 163 15169

29.4912191 (z0)189 (z1)132 (z2)127 (z3)

23 543

29.4912 205 (s)226 (c) 159 5374 (s)

713 (c)

fuen

te: S

ynop

sys

(SA

ED

ED

K 9

0 nm

)

Page 70: Tema 4: Módulos combinacionales básicos · 2016-09-14 · tema 4: Módulos combinacionales básicos A 3021 x 3 x 2 x 1 x 0 1 0 A 3021 x 7 x 6 x 5 x 4 1 0 A 3021 x 11 x 10 x 9 x

70

FC

vers

ión

12/0

9/14

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Licencia CC (Creative Commons)o Ofrece algunos derechos a terceras personas bajo ciertas 

condiciones. Este documento tiene establecidas las siguientes:

Más información: https://creativecommons.org/licenses/by‐nc‐sa/4.0/

Reconocimiento (Attribution): En cualquier explotación de la obra autorizada por la licenciahará falta reconocer la autoría. 

No comercial (Non commercial): La explotación de la obra queda limitada a usos no comerciales.

Compartir igual (Share alike):La explotación autorizada incluye la creación de obras derivadas siempre que mantengan la misma licencia al ser divulgadas.