sistemas digitales basicos

Upload: seleneedith

Post on 04-Nov-2015

61 views

Category:

Documents


1 download

DESCRIPTION

Sistemas Digitales Básicos

TRANSCRIPT

  • 2

    NDICE. UNIDAD I INTRODUCCIN.

    5

    1.1 MARCO HISTRICO. 5 1.1.1 Desarrollo histrico de la Electrnica digital. 5

    1.1.1.1 Inicios de la electrnica. 5 1.1.1.2 De las vlvulas al transistor. 5 1.1.1.3 Aparicin de los circuitos integrados. 7

    1.2 SISTEMAS ANALGICOS VS DIGITALES. 8 1.3 DISPOSITIVOS DIGITALES. 12

    1.3.1 Lgica Positiva. 13 1.3.2 Lgica Negativa. 14 1.3.3 Compuertas Lgicas. 14

    1.4 CIRCUITOS INTEGRADOS. 16 1.5 DISPOSITIVOS PROGRAMABLES. 18

    1.5.1 Herramientas CAD para el diseo de hardware. 18 1.6 NIVELES EN EL DISEO DIGITAL. 20

    1.6.1 Herramientas de diseo. 20 1.6.2 Diseo Buttom-Up. 23 1.6.3 Diseo Top-Down. 24

    UNIDAD 2 SISTEMAS NUMRICOS. 26

    2.1 REPRESENTACIN DE NMEROS. 26

    2.1.1 Sistemas numricos posicinales. 26 2.2 SISTEMAS: BINARIO, OCTAL Y HEXADECIMAL. 28

    2.2.1 Sistema de numeracin binario. 28 2.2.2 Nmeros octales y hexadecimales. 29

    2.3 ARITMTICA BINARIA. 31 2.3.1 Suma y resta de nmeros no decimales. 31 2.3.2 Representacin de nmeros negativos. 33 2.3.3 Representacin de magnitud y signo. 33 2.3.4 Sistema numrico en complemento. 34 2.3.5 Representacin en complemento a la base. 34 2.3.6 Representacin en complemento a dos. 35 2.3.7 Representacin de complemento a la base disminuida. 37 2.3.8 Representacin en complemento a uno. 37 2.3.9 Suma y resta en complemento a dos. 38

    2.3.9.1 Reglas de la suma. 38

  • 3

    2.3.9.2 Desborde. 39 2.3.9.3 Reglas de la resta. 39

    2.4 CONVERSIN. 39 2.4.1 Conversiones entre sistemas numricos posicinales. 39

    UNIDAD 3 FAMILIAS LGICAS. 43

    3.1 SEALES LGICAS Y COMPUERTAS. 43 3.1.1 Compuertas Lgicas. 44 3.1.2 Encapsulados. 45

    3.2 LGICA BIPOLAR Y TTL. 48 3.2.1 Diodos. 49 3.2.2 Transistor de unin bipolar. 52

    3.3 FAMILIA TTL. 53 3.3.1 Caractersticas Elctricas TTL. 54

    3.4 TECNOLOGIA Y FAMILIAS CMOS. 60 3.4.1 Caractersticas de la familia N MOS. 61 3.4.2 Caractersticas de la familia CMOS. 62 3.4.3 Familia BICMOS. 64

    UNIDAD 4 PRINCIPIOS DE DISEO LGICO

    COMBINACIONAL. 66

    4.1 ALGEBRA BOOLEANA. 66

    4.1.1 Propiedades del lgebra booleana. 67 4.1.2 Funciones lgicas. 68

    4.2 REPRESENTACIN DE LAS FUNCIONES LOGICAS. 69 4.2.1 Forma cannica. 69

    4.2.1.1 Suma de minitrminos. 69 4.2.1.2 Producto de los maxitrminos. 70 4.2.1.3 Conversin entre formas cannicas. 70

    4.2.2 Compuertas lgicas. 72 4.3 LOGICA MEZCLADA. 73 4.4 MINIMIZACION DE FUNCIONES LOGICAS. 76

    4.4.1 Mapas de Karnaugh. 77 4.4.2 Procedimiento para Minimizar una funcin por mapas de

    Karnaugh. 77

    4.4.2.1 Mapas de Karnaugh para 5 y 6 variables. 81 4.4.3 Mtodo tabular de Quine McCluskey. 83

    4.5 PROCESO DE DISEO DE UN CIRCUITO COMBINACIONAL. 88

  • 4

    4.5.1 Metodologa del Diseo Combinacional. 89 UNIDAD 5 LENGUAJE DE DESCRIPCIN DE

    HARDWARE, UNA INTRODUCCIN. 94

    5.1 LENGUAJES DE DESCRIPCIN DE ARDWARE. 94

    5.1.1 Ventajas de los HDLS. 95 5.2 ESTRUCTURA DE LENGUAJE VHDL. 96

    5.2.1 Como se declara una Entidad. 98 5.2.2 Como se declara una Arquitectura. 100

    5.3 FUNCIONES. 102 5.3.1 Procedimientos. 103 5.3.2 Bibliotecas. 105 5.3.3 Paquetes. 105

    5.4 DISEO ESTRUCTURAL. 109 5.4.1 Diseo comportamental. 109 5.4.2 Diseo de flujo de datos. 112

    5.5 SIMULACIN Y SINTESIS. 113 UNIDAD 6 CIRCUITOS COMBINACIONALES

    PRCTICOS. 122

    6.1 EL TIEMPO EN LOS CIRCUITOS. 122

    6.1.1 Diagramas de tiempo. 122 6.2 DISPOSITIVOS PROGRAMABLES COMBINACIONALES. 125 6.3 CODIFICADOR. 130

    6.3.1 Codificador binario de 8 a 3 con prioridad. 130 6.4 DECODIFICADOR. 133

    6.4.1 Decodificador de BCD a 7 segmentos. 133 6.4.1.1 Cdigo BCD. 133

    6.5 MULTIPLEXOR. 137 6.6 DEMULTIPLEXOR. 140 6.7 COMPARADOR. 142 6.8 SUMADORES Y RESTADORES. 144

    6.8.1 Medio sumador y sumador completo. 144 6.8.2 Restador. 146 6.8.3 Sumadores en VHDL. 149

    6.9 MULTIPLICACIN BINARIA. 150 BIBLIOGRAFA. 153

  • 5

    INTRODUCCIN. 1.1 MARCO HISTRICO.

    1.1.1 Desarrollo histrico de la Electrnica digital.

    La electrnica digital ha sido una de las revoluciones tecnolgicas ms importantes y

    decisivas de la humanidad, sus principios los podemos resumir en:

    1.1.1.1 Inicios de la electrnica.

    En trminos generales la electrnica y la electricidad nacen con los trabajos de varios destacados fsicos, tales como Coulomb, Ampre, Gauss, Faraday, Henry y Maxwell. Tales trabajos quedaron recogidos, en 1865, en el marco formal de la teora del electromagnetismo, formulada por Maxwell; teora que, sin embargo, debi esperar hasta 1888 para su demostracin.

    La mencionada demostracin la realiz Hertz con la generacin, en el laboratorio, de ondas electromagnticas. Ms tarde, en 1896, Marconi logr transmitir y detectar estas ondas (llamadas hertzianas) y abri el camino a posteriores avances tan importantes como la televisin y las telecomunicaciones.

    En trminos ms concretos, el nacimiento de la electrnica, como rama de la ciencia, puede situarse en 1895, ao en el que Lorentz postul la existencia de partculas cargadas llamadas electrones, lo cual fue demostrado, experimentalmente, por Thompson dos aos ms tarde. Braun, en 1897, hizo pblica su invencin del primer tubo electrnico, rudimentario antecesor de los tubos de rayos catdicos que forman parte de los televisores.

    1.1.1.2 De las vlvulas al transistor.

    La electrnica no asumi las connotaciones tecnolgicas que la caracterizan hasta los inicios del siglo XX, con la invencin de los primeros componentes y, en particular en 1904, con la creacin de la vlvula termoinica o diodo, por parte del fsico britnico John Ambrose Fleming.

    El diodo, de ese momento, estaba compuesto esencialmente por dos electrodos

    metlicos contenidos en un tubo vaco, uno de los cuales (el ctodo) es calentado por un filamento. Debido a este calentamiento, el ctodo emite electrones (efecto termo-inico), que son acelerados hacia el otro electrodo (el nodo) cuando este ltimo se mantiene positivo respecto al ctodo. De tal forma que, intercalado en un circuito, el diodo muestra la

    VOLVER A NDICE

  • 6

    importante propiedad de conducir corriente nicamente cuando la tensin que se le aplica tiene un determinado sentido. De esta manera, permite la rectificacin de una corriente alterna.

    La corriente que se obtiene conectando un electrodomstico a una de las tomas que hay en las paredes de las casas (corriente de red), tiene la caracterstica de invertir continuamente el sentido con que circula por un circuito, y por tanto se llama corriente alterna (la corriente de red es alterna debido a la tcnica de su produccin), lo cual no compete a la electrnica. De todas maneras, en muchos casos, es necesario disponer de una corriente continua; es decir, que nunca invierta su sentido de circulacin. Para esto se emplean unos determinados dispositivos que rectifican la corriente, transformndola de alterna a continua.

    En 1905, el fsico estadounidense Lee De Forest, perfeccionando el invento de

    Fleming, cre el trodo. El aporte de Forest consisti en la introduccin de un tercer elemento (la rejilla), cerca del ctodo. La proximidad entre el ctodo y la rejilla hace que, si a esta ltima se le aplica una pequea tensin, influya sustancialmente sobre el flujo de electrones en el interior del tubo. Por tanto, el trodo acta como amplificador (el nombre de audin, que originalmente dio De Forest a su invento, traduce el intento de aplicar esta caracterstica a las seales de sonido).

    Con el invento de los dispositivos mencionados se aporto la base tecnolgica para el rpido desarrollo de las radiocomunicaciones. Para 1912 en los Estados Unidos se constituy una asociacin de radiotcnicos. All mismo tambin se construy, en 1920, la primera emisora de radio comercial.

    En las dcadas de 1920 y 1930 se introdujeron mejoras a los tubos electrnicos

    originarios (que culminaron con la introduccin del pentodo), aumentando su flexibilidad y su campo de aplicaciones. Entre otras cosas, se hizo posible la invencin de la televisin (1930) y de la radio de modulacin de frecuencia (1933).

    Los tubos de vaco dieron paso a una importante aplicacin, como fue la realizacin

    de los primeros calculadores electrnicos en los aos siguientes de la Segunda Guerra Mundial. Mientras tanto, fsicos como Block, Schottky, Sommerfeld, Winger y otros realizaban excelentes progresos en el estudio de una importante clase de sustancias slidas: los semiconductores, con el propsito de hacer ms eficientes tales calculadoras.

    En 1945 se cre un grupo de trabajo, compuesto por fsicos tericos y experimentales, un qumico y un ingeniero electrnico, en los Bell Telephone Laboratories, para encontrar una alternativa al empleo de los tubos electrnicos en las telecomunicaciones. Ciertamente los tubos presentan inconvenientes, entre los cuales se cuenta una escasa fiabilidad debida a sus elevadas temperaturas de funcionamiento. En 1947 los fsicos John Bardeen, Walter Brattain y William Schockley obtuvieron un efecto de amplificacin en un dispositivo compuesto por dos sondas de oro prensadas sobre un cristal de germanio: naca as el transistor, que actualmente es el elemento fundamental de todo dispositivo electrnico.

    Ms tarde, gracias a los progresos efectuados por los laboratorios Bell en la obtencin de materiales de base (germanio y silicio) con un elevado grado de pureza, el

    VOLVER A NDICE

  • 7

    primer ejemplar fue perfeccionado por Schockley con la introduccin del transistor de unin, totalmente de material semiconductor.

    La comercializacin del transistor en 1951 sent las bases para el desarrollo cualitativo y cuantitativo de la tecnologa electrnica en la segunda mitad del siglo. El transistor proporcion las mismas funcionalidades del trodo, siendo ms pequeo, eficiente, fiable, econmico y duradero. Esto permiti la existencia de una gama de aplicaciones antes impensables y la reduccin de costos y del tamao de los dispositivos electrnicos de uso comn, abrindose as el camino hacia el fenmeno de la electrnica de consumo.

    La aparicin del transistor tambin proporcion un gran impulso al desarrollo de los ordenadores. En 1959 la IBM present el primer ordenador (el 7090) de estado slido, es decir, con transistores.

    En la actualidad, los componentes con semiconductor como el transistor, han sustituido por completo a los tubos de vaco.

    Con esto las condiciones para el desarrollo de la electrnica digital quedan dadas y

    con los siguientes hechos se formaliza como alternativa en el diseo de dispositivos electrnicos a todo nivel:

    1.1.1.3 Aparicin de los circuitos integrados.

    A finales de los aos cincuenta con la introduccin del circuito integrado por parte de Kilby, de la Texas Instrument, y de Noyce y Moore, de la Fairchild Semiconductor Company se da el salto cualitativo ms importante en el desarrollo de la electrnica y en particular de la electrnica digital. La idea fue incluir un circuito completo en una sola pastilla de semiconductor: el Chip, y hacer de las conexiones entre los dispositivos parte integrante de su proceso de produccin, reduciendo as las dimensiones, peso y el costo con relacin al nmero de elementos activos.

    El desarrollo de la microelectrnica, como se denomina la electrnica de los circuitos integrados es impresionante. A partir de su comercializacin (1961), el nmero mximo de componentes integrados en un chip se duplic cada ao desde los 100 iniciales. En la segunda mitad de los aos setenta, al introducirse la integracin a gran escala (VLSI) y superar los 10.000 componentes, se ingres en la poca actual, en la que es normal encontrar varios millones de componentes integrados en un chip muy pequeo.

    VOLVER A NDICE

  • 8

    1.2 SISTEMAS ANALGICOS VS DIGITALES.

    Los circuitos electrnicos se dividen, segn la naturaleza de los valores que toman las seales o magnitudes que intervienen en el sistema, en dos categoras: analgicos y digitales.

    La electrnica analgica utiliza magnitudes con valores continuos, mientras que la electrnica digital emplea magnitudes con valores discretos.

    Una seal analgica es aquella cuya magnitud, en cada instante de tiempo puede tomar cualquiera de los infinitos valores del rango donde este definida, pudiendo cambiar el valor en cantidades arbitrariamente pequeas. La mayora de las magnitudes que se pude medir cuantitativamente se presenta en la naturaleza en forma analgica. En la figura 1.2.1 se muestra la representacin de una seal analgica en tiempo continuo.

    Figura 1.2.1 Representacin de una seal analgica en tiempo continuo.

    Ejemplo de las magnitudes analgicas son: presin, humedad, temperatura, tensin elctrica, etc. En este caso la magnitud se presentada es la humedad relativa del aire en fusin del tiempo. Se aprecia como, a lo largo de un periodo de tiempo, la humedad relativa vara de forma continua en un rango de valores, es decir entre dos puntos cualesquiera, como por ejemplo 25% y 50%, no lo hace de forma instantnea, sino que va tomando los infinitos valores que hay en ese rango o intervalo.

    Las seales digitales son aquellas cuya magnitud, en cada instante de tiempo, solo pueden tomar un valor de entre un conjunto finito de q valores discretos. En el paso de un valor a otro se produce una discontinuidad al no existir valores intermedios (Figura 1.2.2)

    VOLVER A NDICE

  • 9

    Figura 1.1 Representacin de una seal senoidal muestreada digitalmente.

    Si q =2 la magnitud presenta dos estados bien diferenciado: cerrado o abierto, alto (High) y bajo (Low), nivel de tensin alto (VH) o bajo (VL), valor numrico 1 o 0, etc.

    A las seales digitales con dos estados se las denomina binaria, y constituyen la base

    de la electrnica digital. Los sistemas y dispositivo analgicos procesan las seales variantes en el tiempo que

    pueden adquirir cualquier valor a lo largo de un intervalo continuo de voltaje, corriente u otra medida. Una seal digital se modela para tomar, en cualquier instante, solamente uno de dos valores discretos, que denominamos 0 y1 (o BAJO y ALTO, FALSO y VERDADERO, negacin y afirmacin, Samuel y Pedro, o cosas por el estilo).

    Las computadoras digitales han estado presente desde los aos cuarentas y se han

    extendido su uso comercial desde los sesentas. Apenas en estos ltimos 10 a 20 aos la revolucin digital se ha extendido a muchos otros aspectos de la vida. Ejemplos de aquellos sistemas analgicos que ahora se han vuelto digitales:

    .Fotografas. La mayora de las cmaras todava hacen usos de pelculas que tienen

    recubrimiento de haluros de plata para grabar imgenes. Sin embargo, el incremento en la densidad de los microcircuitos o chips de memoria digital ha permitido el desarrollo de cmaras digitales que graban una imagen como una matriz de 640X480, o incluso arreglo ms extensos de pxeles donde cada pxel almacena las intensidades de sus componentes de color rojo, verde y azul, de 8 bits cada uno. Estn gran cantidad de datos, alrededor de siete millones de bits en este ejemplo. Puede ser procesada y comprimida en un formato denominando JEPG y deducirse a un tamao tan pequeo como el equivalente al 5% del tamao original de almacenamiento, dependiendo de la cantidad de detalle de la imagen. De este modo, las cmaras digitales dependen del almacenamiento como el procesamiento digital.

    VOLVER A NDICE

  • 10

    . Grabaciones de videos. Un disco verstil digital, de mltiples usos (DVD, por las siglas de digital verstil disc) almacena videos en un formato digital altamente comprimido denominando MPEG-2. Este estndar codifica una pequea fraccin de los cuadros individuales de video en un formato comprimido semejante al JPEG, y codifica cada uno de los otros cuadros como la diferencia entre este y el anterior. La capacidad de un DVD de una sola capa y un solo lado es de aproximadamente 35 mil millones de bits, suficiente para grabar casi 2 horas de video de alta calidad, y un disco de doble capa y doble lado tiene cuatro veces esta capacidad.

    . Grabacin de audio. Alguna vez se fabricaron exclusivamente mediante la

    impresin de formas de ondas analgica sobre cinta magntica o un acetato (LP), las grabaciones de audio utilizan en la actualidad de manera ordinaria discos compactos digitales (CD, Compact Disc). Un CD almacena la msica como una serie de nmeros de 16 bits que corresponde a muestra de las formas de la onda analgica original. Se realiza una muestra por canal estereofnico cada 22.7 microsegundos. Una grabacin en CD a toda su capacidad (73minutos) contiene hasta seis mil millones de bits de informacin.

    . Carburadores de automviles. Algunas vez controlados estrictamente por

    conexiones mecnicas (incluyendo dispositivos mecnicos analgicos inteligentes que monitorean la temperatura, presin, etc.), en la actualidad los motores de los automviles estn controlados por microprocesadores integrados. Diversos sensores elctricos y electromecnicos convierten las condiciones de la maquina en numero que el microprocesador pueden examinar para determinar como controlar el flujo de gasolina y oxigeno hacia el motor. La salida de microprocesador es una serie de nmeros variantes en el tiempo, que activa a traductores electromecnicos que, a su vez, controlan la maquina.

    . El sistema telefnico. Comenz hace un siglo con micrfonos y receptores

    analgicos que se conectaban en los extremos de un par de alambres de cobre (o, era una cuerda?). Incluso en la actualidad en la mayor parte de los hogares todava se emplean telfonos analgicos, los cuales transmiten seales analgicas hacia la oficina central (CO) de la compaa telefnica. No obstante, en la mayora de las oficinas centrales, estas seales analgicas se convierten a un formato digital antes que sean enviadas a sus destinos, ya sea que se encuentre en la misma oficina central o en cualquier punto del planeta. Durante muchos aos los sistemas telefnicos de conmutacin privados (PBX, private branch exchanges) que se utilizan en los negocios han transportado el formato digital todo el camino hacia los escritorios. En la actualidad, negocios, oficinas centrales y los proveedores tradicionales de servicios telefnico, estn combinado a sistema integrados que combina la voz digital con el trafico digital de datos sobre una sola red de Protocolo de Internet IP (por la siglas en ingles de Protocolo de Internet).

    . Semforos. Para controlar los semforos se utilizan temporizadores

    electromecnicos que habilitaban la luz verde para cada una de las direcciones de la circulacin durante un intervalo predeterminado de tiempo. Posteriormente, se utilizaron reveladores en modulo controladores que podan activar los semforos de acuerdo con el patrn del trafico detectado mediante censores que se incrustan en el pavimento. Los controladores de hoy en da hace uso de microprocesadores y pueden controlar los semforos de modo que maximicen el flujo vehicular o como sucede en algunas ciudades de

    VOLVER A NDICE

  • 11

    California, sean un motivo de frustracin para los automovilista en un sin nmero de creativas maneras.

    . Efectos cinematogrficos. Los efectos especiales creados exclusivamente para ser

    utilizado con modelos miniaturizados de arcilla, escenas de accin, trucos de fotografa y numerosos traslapes de pelculas cuadro por cuadro. En la actualidad naves espaciales, insectos, otras escenas mundanas e incluso bebes (en la produccin animada de Pixar, Tin Toy) se sintetizan por completo haciendo uso de computadoras digitales.

    La revolucin Electrnica ha estado vigente bastante tiempo; la revolucin del

    estado slido comenz en dispositivos analgicos y aplicaciones como transistores y los radios transistorizados.

    . Reproducibilidad de los resultados. Dado el mismo conjunto de entradas (tanto en valor como en serie de tiempo), cualquier circuito digital que hubiera diseado en la forma adecuada, siempre producir exactamente los mismo resultados.

    Las salidas de un circuito analgico varan con la temperatura, el voltaje de la fuente de alimentacin, la antigedad de los componentes y otros factores.

    . Facilidad de diseo. El diseo digital, a menudo denominado diseo lgico, es lgico. No se necesita habilidades matemticas especiales y en el comportamiento de los pequeos circuitos lgicos pude visualizarse mentalmente sin tener alguna idea especial acerca del funcionamiento de capacitores, transistores u otros dispositivos que quieren el clculo para moldearse.

    . Flexibilidad y funcionalidad. Una vez que un problema se ha reducido a su forma digital, podr resolverse utilizando un conjunto de pasos lgicos en el espacio y el tiempo. Por ejemplo, se pude disear un circuito digital que mezcle o codifique su voz grabada de manera que sea absolutamente indescifrable para cualquiera que no tenga su clave (contrasea), pero esta podr ser escuchada virtualmente sin distorsin por cualquier persona que posea la clave. Intente hacer lo mismo con un circuito analgico.

    . Programabilidad. Usted probablemente ya este familiarizado con la computadoras digitales y la facilidad con la que se puede disear, escribir y depurara programas para la misma. Pues bien, adivine que? Una gran parte del diseo digital se lleva a cabo en la actualidad al escribir programas tambin, en los lenguajes de descripcin de hardware (HDLs, por siglas en ingles). Estos lenguajes le permiten especificar o moldear tanto la estructura como la funcin de un circuito digital. Adems de incluir un copilador, un HDL tpico tambin tiene programas de simulacin y sntesis. Estas herramientas de programacin (software) se utilizan para verificar el comportamiento del modelo de hardware antes que sea construido, para posteriormente realizar la sntesis del modelo en un circuito, aplicando una tecnologa de componente en particular.

    VOLVER A NDICE

  • 12

    Velocidad. Los dispositivos digitales de la actualidad son muy veloces. Los transistores individuales en los circuitos integrados mas rpidos pueden conmutarse en menos de 10 picosegundos, un dispositivo completo y complejo construido a partir de estos transistores pueden examinar sus enteradas y producir una salida en menos de 2 nanosegundos. Esto significa que un dispositivo de esta naturaleza pude producir 500 millones o mas resultados por segundo.

    Economa. Los circuitos digitales pueden proporcionar mucha funcionalidad en un espacio pequeo. Los circuitos que se emplean de manera repetitiva pude integrarse en un solo chip y fabricase en masas a costo muy bajo, haciendo posible la fabricacin de productos desechables como son las calculadoras, relojes digitales y tarjetas musicales de felicitacin.

    . Avance tecnolgico constante. Cuando se disea un sistema digital, casi siempre se sabe que habr una tecnologa ms rpida, ms econmica o en todo caso, una tecnologa superior para el mismo caso poco tiempo. Los diseadores inteligentes pueden adaptarse estos avances futuros durante el diseo inicial de un sistema, para anticiparse ala adolescencia del sistema y para ofrecer un valor agregado a los consumidores. Por ejemplo, la computadora porttil a menudo tiene ranuras de expansin para adaptar procesadores ms rpidos o memorias ms grandes las que se encuentran disponibles en el momento de su presentacin en el mercado. De este modo, esto es suficiente para una matriz de mercadotecnia acerca del diseo digital.

    1.3 DISPOSITIVOS DIGITALES.

    La Electrnica Digital estudia las seales elctricas, pero en este caso son seales discretas, es decir, estn bien identificadas, razn por la cual a un determinado nivel de tensin se lo llama estado alto (High) o Uno lgico; y a otro, estado bajo (Low) o Cero lgico.

    Los circuitos digitales no son precisamente ceros y unos pequeos flotando entorno a los circuitos. Los circuitos digitales manejan voltajes y corrientes analgicos y se fabrican a partir de corrientes analgicos. Las abstraccin digital permite que el comportamiento analgico se ignorado en la mayora de los casos, de manera que los circuitos pueden ser modelados como si en realidad procesaran ceros y unos.

    Un aspecto importante de la abstraccin digital es la asociacin de un intervalo de valores analgicos para cada valor lgico (cero o uno).

    Se garantiza que una compuerta tpica tenga un nivel de voltaje preciso para una salida que corresponde al cero lgico. En lugar de ello, puede producir un voltaje en algn sitio de un intervalo, este intervalo se conoce como margen ruido: en un circuito real, la

    VOLVER A NDICE

  • 13

    salida de una compuerta puede corromperse debido a este ruido excesivo, de cualquier forma su valor ser interpretado correctamente en las entradas de otra compuerta. Este comportamiento es similar para las salidas que corresponden al un lgico.

    La labor de un diseador de circuitos electrnicos consiste en asegurarse que las compuertas lgicas produzcan y reconozcan las seales lgicas que se encuentran dentro los intervalos apropiados.

    Supongamos que las seales elctricas con que trabaja un sistema digital son 0V y 5V. Es obvio que 5V ser el estado alto o uno lgico, pero bueno, habr que tener en cuenta que existe la Lgica Positiva y la Lgica Negativa, veamos cada una de ellas.

    1.3.1 Lgica Positiva.

    En esta notacin al 1 lgico le corresponde el nivel ms alto de tensin (positivo, si quieres llamarlo as) y al 0 lgico el nivel mas bajo (que bien podra ser negativo), pero que ocurre cuando la seal no est bien definida...?. Entonces habr que conocer cuales son los lmites para cada tipo de seal, en este grfico (Figura 1.3.1) se puede ver con mayor claridad cada estado lgico y su nivel de tensin.

    V+1 Logico (H)

    54

    3.52.5100 Logico (L)

    O bien1

    5

    3.5

    0

    4

    2.5V+

    0 1

    Figura 1.3.1 Lgica positiva.

    VOLVER A NDICE

  • 14

    1.3.2 Lgica Negativa.

    Aqu ocurre todo lo contrario, es decir, se representa al estado "1" con los niveles ms bajos de tensin y al "0" con los niveles ms altos ( Figura 1.3.2).

    V+1 Logico (H)

    54

    3.52.510

    0 Logico (L)

    O bien1

    5

    3.5

    0

    4

    2.5V+

    01

    Figura 1.3.2 Lgica negativa.

    1.3.3 Compuertas Lgicas.

    Las compuertas lgicas son dispositivos que operan con aquellos estados lgicos mencionados anteriormente, su funcin es: de un lado ingresas los datos, sta realiza una operacin, y finalmente, te muestra el resultado (Figura 1.3.3).

    Figura 1.3.3 Compuertas lgicas.

    Cada una de las compuertas lgicas se representan mediante un Smbolo, y la operacin que realiza (Operacin lgica) con una tabla, llamada Tabla de Verdad.

    Compuerta NOT.

    Se trata de un inversor, es decir, invierte el dato de entrada, por ejemplo; si pones su entrada a 1 (nivel alto) obtendrs en su salida un 0 (o nivel bajo), y viceversa. Esta compuerta dispone de una sola entrada. Su operacin lgica es invertir la seal lgica (Figura 1.3.4).

    VOLVER A NDICE

  • 15

    a b 0 1 1 0

    Figura 1.3.4 Compuerta lgica not.

    Compuerta AND.

    Una compuerta AND tiene dos entradas como mnimo y su operacin lgica es un producto entre ambas, no es un producto aritmtico, aunque en este caso coincidan (Figura 1.3.5)

    Figura 1.3.5 Compuerta lgica and.

    Compuerta OR.

    Al igual que la anterior posee dos entradas como mnimo y la operacin lgica, ser una suma entre ambas (Figura 1.3.6)

    a b c 0 0 0 0 1 1 1 0 1 1 1 1

    Figura 1.3.6 Compuerta lgica or.

    a b c 0 0 0 0 1 0 1 0 0 1 1 1

    a b1 2

    ab c

    1

    23

    ab c

    2

    31

    VOLVER A NDICE

  • 16

    1.4 CIRCUITOS INTEGRADOS.

    A la coleccin de una o ms compuertas en un solo chip se le conocen como circuitos integrados. La mayora de los circuitos integrados son pequeos trozos, o chips, de silicio, de entre 2 y 4 mm2, sobre los que se fabrican los transistores. La fotolitografa permite al diseador crear centenares de miles de transistores en un solo chip situando de forma adecuada las numerosas regiones tipo n y p. Durante la fabricacin, estas regiones son interconectadas mediante conductores minsculos, a fin de producir circuitos especializados complejos. Estos circuitos integrados son llamados monolticos por estar fabricados sobre un nico cristal de silicio. Los chips requieren mucho menos espacio y potencia, y su fabricacin es ms barata que la de un circuito equivalente compuesto por transistores individuales (Figura 1.4.1)

    Figura 1.4.1 Fotografa tomada a una tarjeta Electrnica con varios chips.

    Sin tener en cuenta su tamao, un circuito integrado forma parte en un principio de una oblea de silicio mucho mas grande, hasta de diez pulgadas de dimetro aproximadamente, que contienen docenas de replicas del mismo CI. Todos los chips del circuito integrado en la oblea se fabrican al mismo tiempo como si fueran pizzas que se fueran a vender como rebanadas excepto que en este caso, cada pedazo se conoce como un cuadro.

    Despus de que se fabrica la oblea, los cuadros se prueban directamente sobre la oblea y se marcan las que presentan defectos. Posteriormente la oblea se rebana para producir cuadros individuales y se descartan los que estn marcados como defectuosos. Cada cuadro sin marcar se monta en un encapsulado y sus circuitos de entrada salida se conectan a las terminales del encapsulado, se somete a una prueba final y se enva al cliente.

    VOLVER A NDICE

  • 17

    Los CI de integracin a pequea escala que se utilizan en las prcticas de laboratorio vienen en un encapsulado de 14 terminales en doble lnea, como se ilustra en la figura 1.4.2. La separacin entre las terminales en una columna es de 0.1 pulgadas y el espacio entre columnas es de 0.3 pulgadas.

    Figura 1.4.1 Circuito integrado DIP con 14 terminales.

    La construccin de estos dispositivos es posible gracias a las tecnologas de

    fabricacin que han venido surgiendo como son la GaAs, BiCMOS, Bipolar y CMOS. En la figura 1.4.2 se muestran las principales tecnologas de circuitos integrados (IC) y familias de circuitos lgicos que se encuentran en uso hoy da(1997). Los elementos de cada familia estn hechos de la misma tecnologa, tienen estructuras de circuitos semejantes, y exhiben las mismas funciones bsicas.

    Tecnologa de IC y familias de Circuitos Lgicos CMOS Bipolar BiCMOS GaAs

    CMOS Pseudo Circuitos lgicos Circuitos TTL ECL Complementarios NMOS de transistor lgicos de paso dinmicos

    Figura 1.4.2 Familia de circuitos digitales.

    VOLVER A NDICE

  • 18

    Cada familia de circuitos lgicos ofrece un conjunto particular de ventajas y desventajas. En el estilo convencional del diseo de sistemas, se selecciona una familia apropiada, por ejemplo la TTL, CMOS o ECL (circuito de emisor acoplado) y se intenta poner en prctica tanto como sea posible en el sistema, usando para ello mdulos de circuito que pertenezcan a esta familia. En esta forma, la interconexin de los diversos paquetes es relativamente fcil. Si por otra parte, se utilizan paquetes de ms de una familia, se tienen que disear circuitos de interfaz apropiados.

    La seleccin de una familia lgica esta basada en consideraciones como son; la flexibilidad, velocidad de operacin, disponibilidad de funciones complejas, inmunidad al ruido, escala de temperatura de operacin, disipacin de potencia y el costo.

    Con mucho la tecnologa que ms se prefiere ahora en da, para la ejecucin de sistemas digitales es la tecnologa MOS. El pequeo tamao, la facilidad de fabricacin y la baja disipacin de potencia de los MOSFET hace posible niveles sumamente altos de integracin de circuitos lgicos y de memoria. 1.5 DISPOSITIVOS PROGRAMABLES.

    El diseo digital tradicional no necesita involucrar a ninguna de las herramientas de software. Sin embargo, en la actualidad, las herramientas de software son una parte esencial del diseo digital. En efecto, la disponibilidad y la factibilidad de los lenguajes de descripcin de hardware (HDL, por sus siglas en ingls) y las herramientas de sntesis y simulacin de circuitos que los acompaan han cambiado el panorama del diseo digital durante estos ltimos aos.

    En el diseo asistido por computadora (CAD, por sus siglas en ingls) varias herramientas de software mejoran la productividad del diseador y le ayudan a perfeccionar la exactitud y calidad de los diseos. El impacto de las herramientas CAD sobre el proceso de diseo de circuitos electrnicos y sistemas es fundamental. No slo por la adicin de interfaces grficas para facilitar la descripcin de esquemas, sino para la inclusin de herramientas, como los simuladores, que facilitan el proceso de diseo y la conclusin con xito de los proyectos.

    1.5.1 Herramientas CAD para el diseo de hardware:

    Lenguajes de descripcin de circuitos. Son lenguajes mediante los cuales es posible describir un circuito electrnico o digital. La descripcin puede ser de bloques, donde se muestra la arquitectura del diseo, o de comportamiento, donde se describe el comportamiento del circuito en ves de los elementos de los que esta compuesto.

    VOLVER A NDICE

  • 19

    Captura de esquemas. Es la forma clsica de describir un diseo electrnico y la ms extendida ya que era la nica usada antes de la aparicin de las herramientas de CAD. La descripcin esta basada en un diagrama donde se muestran los diferentes componentes de un circuito.

    Grafos y diagramas de flujo. Es posible describir un circuito o sistema mediante

    diagramas de flujo, maquinas de estados, etc. En este caso seda una descripcin grfica pero, al contrario que la captura de esquemas, la descripcin seda comportamental en vez de una descripcin de componentes.

    Simulacin de sistemas. Estas herramientas se usan sobre todo para la simulacin de

    sistemas. Los componentes de la simulacin son elementos, de alto nivel como discos duros, buses de comunicaciones, etc. Se aplica la teora de colas para la simulacin.

    Simulacin funcional. Bajando al nivel de circuitos digitales se puede realizar una

    simulacin funcional. Este tipo de simulacin comprueba el funcionamiento de circuitos digitales de forma funcional, es decir, a partir del comportamiento lgico de sus elementos (sin tener en cuenta problemas electrnicos como retrasos, etc.) se genera el comportamiento del circuito frente a unos estmulos dados.

    Simulacin digital. Esta simulacin, tambin exclusiva de los circuitos digitales, es como

    la anterior con la diferencia de que se tienen en cuenta retrasos en la propagacin de las seales digitales. Es una simulacin muy cercana al comportamiento real del circuito y prcticamente garantiza el funcionamiento correcto del circuito a realizar.

    Simulacin elctrica. Es la simulacin de ms bajo nivel donde las respuestas se elaboran

    a nivel del transistor. Sirven tanto para circuitos analgicos como digitales y su respuesta es prcticamente idntica a la realidad.

    Realizacin de PCBs. Con estas herramientas es posible realizar el trazado de pistas

    para la posterior fabricacin de una placa de circuito impreso.

    Realizacin de circuitos integrados. Son herramientas de CAD que sirven para la realizacin de circuitos integrados. Las capacidades graficas de estas herramientas permiten la realizacin de las diferentes mascaras que intervienen en la realizacin de circuitos integrados.

    Realizacin de dispositivos programables. Con estas herramientas se facilita la

    programacin de este tipo de dispositivos, desde las simples PALs (Programmable And Logic) hasta las ms complejas FPGAs (Field Programmable Gate Arrays)( Figura 1.5.1), pasando por las PLDs (Programmable Logic Devices)

    VOLVER A NDICE

  • 20

    Figura 1.5.1 Estructura bsica de un FPGA..

    1.6 NIVELES EN EL DISEO DIGITAL.

    1.6.1 Herramientas de diseo.

    En su sentido ms moderno, CAD(diseo asistido por ordenador, del ingles Computer Aided Desing) que significa proceso de diseo que emplea sofisticadas tcnicas graficas de ordenador, apoyadas en paquetes de software, para la ayuda de problemas analticos, de desarrollo, de coste, y ergonmicos; asociados con el trabajo de diseo.

    CAD podra decirse que es un termino que es asociado con el diseo del dibujo, sin embargo dado que el diseo incluye otras fases, el trmino CAD se emplea tanto para el dibujo como para el resto de herramientas que ayudan al diseo (por ejemplo la comprobacin de funcionamiento, anlisis de costes, etc.)

    El impacto de las herramientas CAD sobre el diseo de circuitos electrnicos es fundamental. No solo por la adicin de interfaces graficas para facilitar la descripcin de esquemas, si no por la inclusin de herramientas, como los simuladores, que facilitan el proceso de diseo y de conclusin con xito los proyectos.

    VOLVER A NDICE

  • 21

    EDA (Electronic Desing Automation) es el nombre que se le da a todas las

    herramientas (tanto hardware como software) que sirven como ayuda en el diseo de sistemas electrnicos. Dentro del EDA las herramientas de CAD juegan un importante papel.

    En el diseo de hardware se tiene un problema fundamental, que no existe, por ejemplo en la produccin de software. Este problema es el alto coste del ciclo diseo-prototipacin, testeo, vuelta a empezar, ya que el coste del prototipo suele ser en general bastante elevado.

    Por esta razn se impone la necesidad de reducir este ciclo de diseo para no incluir la fase de prototipacin ms que al final del proceso, para evitar as la implementacin de varios prototipos errneos que encarezcan el producto. Se incluye la fase de simulacin y comprobacin de circuitos utilizando las herramientas de CAD, de manera que no es necesario realizar un prototipo, para comprobar el funcionamiento del circuito, economizando as el ciclo de trabajo. Este ciclo de diseo hardware se muestra con detalle en la figura 1.6.1

    VOLVER A NDICE

  • 22

    idea descripcion del modelado

    testeo ysimulacion

    correcto?sintesismapeadotecnologico

    extraccion depropiedades

    simulacion correcto? fabricacion testeo depurado

    funciona? producto acabado

    si

    sisi

    no

    no no

    Figura 1.6.1 Flujo de diseo para sistemas electrnicos y digitales.

    VOLVER A NDICE

  • 23

    En el ciclo de diseo hardware las herramientas de CAD estn presentes en todos

    los pasos. Estas herramientas permiten la realizacin de microchips, as como la realizacin y programacin de dispositivos programables.

    1.6.2 Diseo Buttom-Up.

    El diseo button-up (diseo de abajo hacia arriba) se aplica al mtodo de diseo mediante el cual se realiza la descripcin del circuito o sistema que se pretende realizar, empezando por describir los componentes mas pequeos del sistema para mas tarde agruparlos en diferentes mdulos, y estos a su vez en otros mdulos hasta llegar a uno solo que represente el sistema completo que se pretende realizar. En la figura se muestra la metodologa de diseo.

    SISTEMA

    +

    -LF412A

    3

    21

    84

    Figura 1.6.2 Metodologa del diseo Buttom-Up.

    Esta metodologa de diseo no implica una estructuracin jerrquica de los elementos del sistema, si no que esta estructuracin, al contrario de lo que ocurre en el diseo top-down se realiza despus de la descripcin del circuito, y por tanto, no resulta necesaria.

    En un diseo Buttom Up, se empieza por crear una descripcin, con esquemas, por ejemplo de los componentes que forman parte del circuito. Estos componentes normalmente pertenecen a una librera que contiene chips, resistencias, condensadores, y otros elementos que representan unidades funcionales con significado propio dentro del diseo.

    VOLVER A NDICE

  • 24

    SISTEMA nivelalto

    nivelbajo

    +

    -LF412A

    3

    21

    84

    En general esta forma de disear no es muy buena, ya que es un flujo de diseo bastante ineficiente. Para diseos muy grandes como los actuales no se pueden esperar unir miles de componentes a bajo nivel y pretender que el diseo funcione adecuadamente. El hecho de unir un numero elevado de componentes entre si sin tener una estructura mas elevada que permita separarlos en bloques hace que sea complejo el anlisis del circuito, lo que provoca dificultades a la hora de detectar fallos en el circuito, anomalas de funcionamiento etc. Con esto la probabilidad de cometer errores de diseo se hace ms elevada.

    Esta metodologa es la que se vena utilizando en los primeros tiempos de automatizacin del proceso del diseo. Esto es as por que esta parte se haba conseguido automatizar completamente. Para empezar las herramientas de diseo permitan una descripcin sencilla a bajo nivel (captura de esquemas) y a partir de ah, mediante otras herramientas integradas en el proceso era posible su implementacin.

    1.6.3 Diseo Top-Down.

    El diseo top-down es, en su mas pura forma el proceso de captura de una idea en alto nivel de abstraccin, e implementarla partiendo de esa descripcin abstracta, despus ir hacia abajo incrementando el nivel de detalle segn sea necesario. Esta forma de disear se muestra prcticamente en la figura 1.6.3 donde el sistema inicial se ha dividido en diferentes mdulos, cada uno de los cuales se encuentra a su vez subdividido hasta llegar a los elementos primarios de la descripcin.

    Figura 1.6.3 Metodologa del diseo Top Down.

    VOLVER A NDICE

  • 25

    Los aos 80 trajeron una revolucin en las herramientas para el diseo por ordenador. Aunque esto no modifico la forma de disear si que mejoro la facilidad de hacerlo. As mediante el software disponible por ordenador, se podan disear circuitos mas complejos en, comparativamente, cortos periodos de tiempo (aunque siguiera utilizando el diseo button-up).

    Pero hoy en da, nos encontramos en un marco en que es necesario hacer diseos ms y ms complicados en menos tiempo. As, se puede descubrir que el flujo de diseo buttom-up es bastante eficiente. El problema bsico de diseo buttom-up es que no permite acometer con xito diseos que contenga muchos elementos puesto que es fcil conectarlos de forma errnea. No se puede esperar unir miles de componente de bajo nivel, o primitivas, y confiar en que el disee funcione adecuadamente.

    Para esto existe la metodologa top-down que sigue un poco el lema de divide y vencers, de manera que un problema, en principio muy complejo es dividido en varios subproblemas que a su vez pueden ser divididos en otros problemas mucho ms sencillos a tratar. En el caso de un circuito esto se traducir en la divisin del sistema completo, en mdulos, cada uno de los cuales con una funcionalidad determinada. A su vez estos mdulos, dependiendo siempre de la complejidad del circuito inicial o de los mdulos se pueden dividir en otros mdulos hasta llegar a los componentes bsicos del circuito o primitivas

    VOLVER A NDICE

  • 26

    SISTEMAS NUMRICOS. 2.1 REPRESENTACIN DE NMEROS.

    Los sistemas digitales tratan informacin binaria, siendo importante conocer los fundamentos de los sistemas de numeracin y en especial en base dos.

    La mayora de sistemas de numeracin utilizados son del tipo polinomial. En este

    sistema un nmero viene definido por una cadena de dgitos, estando afectados cada uno de ellos por un factor de escala que depende la posicin que ocupa en la cadena. Un sistema de posicin polinomial tiene las siguientes caractersticas:

    Un nmero o cantidad se representa por una sucesin ordenada de smbolos, llamados dgitos o cifras, situados de izquierda a derecha de un unto de referencia.

    Cada uno de estos dgitos tiene un valor fijo y diferente de los dems.

    El nmero de posibles dgitos distintos a utilizar en un determinado sistema de

    numeracin constituye la base. As, el sistema ms empleado, llamado sistema decimal, tiene diez dgitos. En la tabla 2.1 se muestra algunos ejemplos de los sistemas de numeracin mas empleados.

    SISTEMA BASE DIGITOS Decimal 10 0,1,2,3,4,5,6,7,8 y 9 Binario 2 0 y 1 Octal 8 0,1,2,3,4,5,6 y 7 Hexadecimal 16 0,1,2,3,4,5,6,78,9,A,B,C,D,E Y F

    Tabla 2.1 Ejemplos de sistemas de numeracin.

    2.1.1 Sistemas numricos posicinales.

    El sistema numrico tradicional que se aprende en la escuela y se usa todos los das, se conoce como sistema numrico posicional. En tal sistema, un nmero se representa por una cadena de dgitos, donde cada posicin de dgito tiene un peso asociado. El valor de un nmero es una suma ponderada de los dgitos, por ejemplo:

    1734 = 11000 + 7100 + 310 + 41

    VOLVER A NDICE

  • 27

    Cada peso es una potencia de 10 correspondiente a la posicin del dgito. Un punto decimal permite el uso de potencias de 10 tanto positivas como negativas:

    5185.68 = 51000 + 1100 + 810 + 5-1 +60.1 + 80.01

    En general, un nmero D de la forma d3 d2d1d0, d -1 d-2 . tiene el valor

    D = d1 101 + d0 100 + d-1 10-1 + d-2 10-2

    Aqu, la cifra 10 se conoce como la base o raz del sistema numrico. En los sistemas posicinales, la raz debe ser un entero r, por lo que un dgito en la posicin i tiene peso ri. La forma general de un nmero en tal sistema numrico es:

    dp- 1 dp-2 . . . d1d0 d-1 d--2 d-n

    Existen p dgitos a la izquierda del punto y n dgitos a la derecha del punto conocido como punto decimal en el sistema con base 10. Si se omite el punto, se supone que est a la derecha del dgito de la extrema derecha. El valor del nmero es la suma de cada dgito multiplicado por la correspondiente potencia de la raz:

    Si se exceptan los posibles ceros anteriores y posteriores, la representacin de un nmero en un sistema numrico posicional es nica. El dgito que est ms a la izquierda de tal nmero se llama dgito de orden superior o ms significativo; el de ms a la derecha es el dgito de orden inferior o menos significativo.

    Los circuitos digitales tienen seales que normalmente estn en una de dos

    condiciones: alto o bajo, cargado o descargado, desactivado o activado. Las seales en estos circuitos representan dgitos binarios (o bits) que tienen uno de dos valores: 0 1. De ah que la base 2 a menudo se use para representar nmeros en un sistema digital. La forma general de un nmero binario es:

    bp-1 bp-2...b1b0 b-1 b--2 b-n y su valor es:

    ip

    niibB 2

    1=

    =

    VOLVER A NDICE

  • 28

    En un nmero binario, el punto se llamar punto binario. Cuando se trate con punto binario nmeros binarios u otros no decimales, se usar un subndice para indicar la base de cada nmero, a menos que sta sea obvia por el contexto. Algunos ejemplos de nmeros binarios y sus equivalentes decimales son:

    100112 = 116 + 08 + 04 + 12 + 11 = 1910

    1000102 = 132 + 016 + 08 + 04 + 12 + 01 = 3410

    101.0012 = 14 + 02 + 11 + 00.5 + 00.25 + 10.125 = 5.12510

    El bit ubicado ms a la izquierda de un nmero binario se llama bit de orden superior o ms significativo (MSB, siglas en ingls de most significant bit); el localizado MSB ms a la derecha es el bit de orden inferior o menos significativo (LSB, de least significant bit). 2.2 SISTEMAS: BINARIO, OCTAL Y HEXADECIMAL.

    En los sistemas digitales la informacin numrica est generalmente representada en el sistema numrico binario. Sin embargo, tambin son importantes otros sistemas numricos, principalmente el OCTAL, HEXADECIMAL.

    2.2.1 Sistema de numeracin binario.

    El sistema binario es un sistema de numeracin en base dos, esta basado en la utilizacin exclusiva, de cada digito binario denominado bit, de dos smbolos distintos , el 0 y el 1, para expresar cualquier magnitud numrica.

    La importancia de este sistema radica en la sencillez de sus reglas aritmticas y en que los componentes electrnicos que se emplean para la realizacin de los circuitos digitales presentan dos estados estables perfectamente diferenciados, que hacen que sea el sistema idneo para su uso en Electrnica digital.

    El bit de menor peso o menos significativo se denomina LSB (Least Significant

    Bit) y el bit de mayor peso o ms significativo MSB (Most Significant Bit).

    VOLVER A NDICE

  • 29

    Binario Decimal

    O O 1 1 10 2 11 3 100 4 101 5 110 6 111 7 1000 8 1001 9 1010 10 1011 11 1100 12 1101 13 1110 14 1111 15

    Tabla 2.2 Nmeros binarios de 4 bits.

    2.2.2 Nmeros octales y hexadecimales.

    La base 10 es importante debido a que se usa en la vida diaria, ya que los nmeros binarios pueden procesarse directamente mediante circuitos digitales. Los nmeros en otras bases no se procesan a menudo directamente, pero pueden ser esenciales para documentacin u otros propsitos. En particular las bases 8 y 16 proporcionan representaciones breves que son convenientes para nmeros con mltiples bits en un sistema digital.

    El sistema numrico octal usa base 8, mientras que el sistema numrico

    hexadecimal emplea la base 16. La Tabla 2.3 muestra los enteros binarios desde 0 hasta 1111 y sus equivalentes en numeracin octal, decimal y hexadecimal. El sistema octal necesita 8 dgitos, as que utiliza los dgitos del 0 al 7 del sistema decimal. El sistema hexadecimal necesita 16 dgitos, as que complementa los dgitos decimales 0-9 con las letras A-F.

    VOLVER A NDICE

  • 30

    Binario Decimal Octal Hexadecimal O O O O 1 1 1 1 10 2 2 2 11 3 3 3 100 4 4 4 101 5 5 5 110 6 6 6 111 7 7 7 1000 8 10 8 1001 9 11 9 1010 10 12 A 1011 11 13 B 1100 12 14 C 1101 13 15 D 1110 14 16 E 1111 15 17 F

    Tabla 2.2 Nmeros binarios de 4 bits. Los sistemas octal y hexadecimal son tiles para representar nmeros de muchos

    bits debido a que sus bases son potencias de 2. Como una cadena de tres bits puede tener ocho combinaciones diferentes, cada cadena de tres bits puede representar de manera nica a un dgito octal, de acuerdo con la tercera y cuarta columnas de la. De manera semejante, una cadena de 4 bits puede representar a un dgito hexadecimal de acuerdo con la quinta y sexta columnas de la tabla.

    En consecuencia, es muy sencillo convertir un nmero binario a octal. Si se empieza

    con el punto binario y se contina a la izquierda, simplemente se separan los bits en grupos de tres y se reemplaza cada grupo con el correspondiente dgito octal:

    1000110011102 = 100 011 001 1102 = 43168

    111011011101010012 = 011 101 101 110 101 0012 = 3556518

    El procedimiento para la conversin de binario a hexadecimal es similar, excepto que se usan grupos de cuatro bits:

    1000110011102 = 1000 1100 1110 2 = 8CE16

    111011011101010012 = 0001 1101 1011 1010 1001 2 = lDBA916

    En estos ejemplos se han agregado libremente ceros a la izquierda para que el nmero total de bits sea un mltiplo de 3 o 4, segn se requiera.

    VOLVER A NDICE

  • 31

    Si un nmero binario contiene dgitos a la derecha del punto binario, se puede convertir a octal o hexadecimal comenzando en el punto y siguiendo hacia la derecha. Tanto en el lado izquierdo como en el derecho pueden agregarse ceros para obtener mltiplos de tres o cuatro bits, como se muestra en el ejemplo siguiente.

    10.10110010112 = 0l0.l0l l00 l0l l00 2 = 2.54548 = 0010.1011 0010 1100 2 = 2.B2C16

    Conversin octal. La conversin en la direccin contraria (de octal a hexadecimal a binario) es muy sencilla. Simplemente se reemplaza cada dgito octal o hexadecimal con la binario correspondiente cadena de 3 o 4 bits, como se ilustra a continuacin:

    13578 = 001 011 101 1112

    2046.178 = 010000100110.0011 112

    BEAD16 = 10111110101011012

    9F.46C16 = 10011111.0100011011002

    En el sistema hexadecimal, dos dgitos representan un byte de 8 bits y 2n dgitos representan una palabra de n bytes; cada par de dgitos constituye exactamente un byte. Por ejemplo, el nmero hexadecimal de 32 bits 5678ABCD16 consiste de cuatro bits con valores 5616, 7816, AB16 y CD16. En este contexto, a un dgito hexadecimal de cuatro bits algunas veces se le llama semibit (nibble).

    2.3 ARITMTICA BINARIA. 2.3.1 Suma y resta de nmeros no decimales.

    La suma y resta manual de los nmeros no decimales usa la misma tcnica aprendida para los nmeros decimales, slo que las tablas de suma y resta son diferentes.

    Para la suma y resta de dgitos binarios. Al sumar los dos nmeros binarios X y Y,

    sumamos los bits menos significativos con un acarreo inicial (Cin) de 0, lo que produce los bits de acarreo (Cout) y de suma (S) de acuerdo con la tabla. Se continan procesando los bits de derecha a izquierda, incluyendo el acarreo de la columna anterior en la suma de la siguiente columna.

    VOLVER A NDICE

  • 32

    SUMANDO X

    SUMANDO Y

    ACARREO C0

    SUMA S

    0 0 1 1

    0 1 0 1

    0 0 0 1

    0 1 1 0

    Tabla 2.3 Reglas de la suma.

    A continuacin se muestran ejemplos de sumas decimales y las correspondientes

    sumas binarias, los acarreos se muestran como una cadena C de bits.

    Sumas binarias

    La resta o sustraccin binaria es otra de las operaciones aritmticas comnmente realizadas en las computadoras digitales La resta binaria se realiza de manera similar, usando prstamos (P0) en vez de acarreos entre cada paso y produciendo un bit de diferencia d. muestra dos ejemplos de restas decimales y las correspondientes restas binarias. Al igual que en la resta decimal, los valores minuendo binarios en las columnas se modifican cuando ocurre un prstamo. Las reglas se muestran en la siguiente tabla:

    MINUENDO x

    SUBSTRAENDO y

    RESTA d

    PRSTAMO P0

    0 0 1 1

    0 1 0 1

    0 1 1 0

    0 1 0 0

    Tabla 2.4 Reglas de la resta.

    VOLVER A NDICE

  • 33

    Un uso muy comn de la resta en las computadoras es el de la comparacin de dos de nmeros. Por ejemplo, si la operacin X - Y produce un prstamo en la posicin del nmeros bit ms significativo, entonces X es menor que Y; de lo contrario X es mayor que o igual que Y.

    2.3.2 Representacin de nmeros negativos.

    Hasta ahora slo se ha hablado de nmeros positivos, pero hay muchas formas de representar a los nmeros negativos. En la vida diaria, usamos el sistema de magnitud y signo. Sin embargo, la mayora de las computadoras usan uno de los sistemas de nmeros complementados que se presentara a continuacin.

    2.3.3 Representacin de magnitud y signo.

    En el sistema de magnitud y signo, un nmero consiste de una magnitud y de un smbolo que indica si la magnitud es positiva o negativa. Por lo que los nmeros +98, -57, + 123.5 y - 13 los interpretamos de la manera usual; tambin suponemos que el signo es " + " si no se escribe ningn smbolo. Hay dos posibles representaciones del cero, "+0" y "- 0", pero ambas tienen el mismo valor. El sistema de magnitud y signo se aplica a los nmeros binarios por medio de bit de signo una posicin de bit extra para representar el signo (el bit de signo). De manera tradicional, el bit ms significativo (MSB) de la cadena de bits se usa como el bit de signo (0 = ms, 1 = menos) y los bits de orden inferior contienen la magnitud. As que escribamos varios enteros en magnitud y signo, de 8 bits y sus equivalentes decimales:

    010101012 = +8510

    011111112 = +12710

    000000002 = +010

    110101012 = -8510

    111111112 = -12710

    100000002 = -010

    El sistema de magnitud y signo contiene un nmero igual de enteros positivos y negativos. Un entero en magnitud y signo de n bits est en el rango de -(2n-1 - 1) a + (2n-1 - 1), con dos posibles representaciones del cero.

    VOLVER A NDICE

  • 34

    Ahora suponiendo que se quiere construir un circuito de lgica digital para que sume nmeros en magnitud y signo. El circuito debe examinar los signos de los sumandos para determinar qu hacer con las magnitudes. Si los signos son los mismos, ste debe sumar las magnitudes y dar el mismo signo al resultado. Si los signos son diferentes, ste debe comparar las magnitudes, restar la ms pequea a la ms grande y dar al resultado el signo de la ms grande. Todos estos "si", "sumas", "restas" y "comparaciones" se traducen en circuitos lgicos bastante complejos. Los sumadores para sistemas de nmeros complementados son mucho ms simples.

    2.3.4 Sistema numrico en complemento.

    Mientras que los sistemas de magnitud y signo niegan un nmero al cambiar su signo, un sistema numrico en complemento niega un nmero al tomar su complemento definido por el sistema. Tomar el complemento es ms difcil que cambiar el signo, pero los dos nmeros en un sistema en complemento pueden sumarse o restarse directamente sin verificar la magnitud y signo, cmo lo requiere el sistema de magnitud y signo. Se describirn dos sistemas en complemento llamados "el complemento a la base" y el complemento a la base disminuida.

    En cualquier sistema numrico en complemento, normalmente se trabaja con un nmero fijo de dgitos, digamos n. (Sin embargo, puede incrementarse el nmero de dgitos mediante la "extensin del signo": agregar ceros a la izquierda si es positivo o unos si es negativo)

    D = dn-1dn-2d1d0

    Si un nmero D se complementa dos veces, el resultado es D.

    2.3.5 Representacin en complemento a la base.

    En un sistema en complemento a la base, el complemento de un nmero de n dgitos se obtiene al restarlo de rn. En el sistema decimal, el complemento a la base se llama el complemento a 10.

    Numero Complemento a 10

    1849 8151 2067 7933 100 9900

    7 9993 8151 1849

    0 10000 (= 0)

    Tabla 2.5 Complemento a 10.

    VOLVER A NDICE

  • 35

    Por definicin, parece necesaria una operacin de resta para calcular el complemento a la base de D. Sin embargo, esta resta puede evitarse al rescribir rn como (rn - 1) + 1 y rn - D como ((rn - 1) - D) + 1. El nmero rn - 1 tiene la forma mm---mm, donde m = r - 1 y tiene n dgitos m. Por ejemplo, 10,000 es igual a 9,999 + 1. Si definimos el complemento de un dgito d como r - 1 - d, luego (rn - 1) - D se obtiene al complementar los dgitos de D. Por lo que el complemento a la base de un nmero D se obtiene al complementar los dgitos individuales de D y sumndole 1. Por ejemplo, el complemento a 10 de 1849 es 8150 + 1 o sea 8151.

    Complementos de dgitos

    Dgito Binario Octal Decimal Hexadecimal0 1 7 9 F

    1 0 6 8 E 2 - 5 7 D 3 - 4 6 C 4 - 3 5 B 5 - 2 4 A 6 - 1 3 9 7 - 0 2 8 8 - - 1 7 9 - - 0 6 A - - - 5 B - - - 4 C - - - 3 D - - - 2 E - - - 1 F - - - 0

    Tabla 2.6 Complementos de dgitos.

    2.3.6 Representacin en complemento a dos.

    Para los nmeros binarios, el complemento a la base se llama complemento a dos. El MSB de un nmero en este sistema sirve como el bit de signo; un nmero es negativo si y slo si su MSB es 1. El equivalente decimal para un nmero binario en complemento a dos se calcula de la misma manera que para un nmero sin signo, excepto que el peso del MSB es -2n-1 en vez de +2n-1. El rango de nmeros representables peso de MSB comprende desde -(2n-1) hasta + (2n-1 - 1). Algunos ejemplos de 8 bits se muestran en seguida.

    VOLVER A NDICE

  • 36

    Ejemplo a 8 bits:

    En un caso ocurre un acarreo fuera de la posicin del MSB, como se muestra en el ltimo ejemplo. En todas las operaciones en complemento a dos se ignora este bit y slo se usan los n bits de orden inferior del resultado.

    En el sistema numrico en complemento a dos, el cero se considera positivo debido a que su bit de signo es 0. Ya que el complemento a dos tiene una nica representacin del cero, se tiene un nmero negativo extra, -2n-1, el cual no tiene una contraparte positiva.

    Podemos convertir un nmero X en complemento a dos de n bits en uno de m bits, pero hay que tener cuidado. Si m > n debemos agregar m - n copias del bit de signo de X a la izquierda de X. Esto es, se agregan ceros a los nmeros positivos y unos a los nmeros negativos; esto se conoce como extensin de signo. Si m < n, se descartan los n - m bits de ms a la izquierda de X; sin embargo, el resultado el vlido slo si todos los bits descartados son iguales al bit de signo del resultado.

    La mayora de las computadoras y otros sistemas digitales usan el sistema de complemento a dos para representar nmeros negativos. Sin embargo, para ser completos, tambin se describir el complemento a la base disminuida y los sistemas en complemento a 1.

    VOLVER A NDICE

  • 37

    2.3.7 Representacin de complemento a la base disminuida.

    En un sistema de complemento a la base disminuida, el complemento de un nmero D de n dgitos se obtiene al restarlo de bn - 1. Esto puede hacerse complementando los dgitos individuales de D, sin sumarle 1 como en el sistema complemento a la base. En decimal esto se conoce como complemento a 9.

    Numero Complemento a 9 1849 8150 2067 7932 100 9899 7 9992

    8151 1848 0 9999

    Tabla 2.7 Complementos a 9.

    2.3.8 Representacin en complemento a uno.

    El sistema de complemento a la base disminuida para los nmeros binarios se llama complemento a uno. Al igual que en complemento a dos, el bit ms significativo es el de signo (0 si es positivo, 1 si es negativo). Por tanto, hay dos representaciones del cero, el cero positivo (0000) y el cero negativo (1111). Las representaciones de los nmeros positivos son iguales tanto en complemento a uno como a dos. Sin embargo, las representaciones de los nmeros negativos difieren en 1. Se da un peso de -(2n-1 - 1), en vez de -2n-1, al bit ms significativo cuando se calcula el equivalente decimal de un nmero en complemento a uno. El rango de nmeros representable va desde -(2n-1 - 1) hasta + (2n-1 - 1). A continuacin se muestran algunos nmeros de 8 bits y sus complementos a uno.

    1710 = 000100012 ==> 111011102 = -1710

    11910 =011101112 ==> 100010002 = -11910

    -9910 = 100111012 ==> 011000102 = 9910

    -12710 = 10000000 ==> 011111112 = 12710

    010 = 000000002 (cero positivo) ==> 111111112 = 010 (cero negativo)

    VOLVER A NDICE

  • 38

    La principal ventaja del sistema de complemento a uno es su simetra y su fcil complementacin. Sin embargo, un sumador para nmeros en "complemento a uno es ms artificioso que un sumador en complemento a dos. Asimismo, los circuitos para la deteccin del cero en un sistema en complemento a uno debe verificar ambas representaciones del cero, o bien siempre debe convertir 1111 a 0000..

    2.3.9 Suma y resta en complemento a dos. 2.3.9.1 Reglas de la suma.

    Si se comienza con 10002 (-810) y se sigue contando, vemos que cada nmero sucesivo en complemento a dos hasta 01112 (+710 ), puede obtenerse al sumar 1 al nmero previo, ignorando los acarreos ms all de la cuarta posicin. No puede decirse lo mismo de los nmeros en magnitud y signo y de los en complemento a uno. Debido a que la suma ordinaria es slo una extensin del conteo, los nmeros en complemento a dos pueden sumarse mediante la suma binaria ordinaria, ignorando los acarreos ms all del MSB. El resultado en todos los casos ser la suma correcta, siempre que no se exceda el rango del sistema numrico.

    Suma en complemento a dos:

    VOLVER A NDICE

  • 39

    2.3.9.2 Desborde.

    Si una operacin de suma produce un resultado que excede el rango del sistema numrico, se dice que ocurre un desborde. En la representacin de conteo modular de desborde la figura 1-3, el desborde ocurre durante la suma de nmeros positivos cuando contamos ms all de + 7. La suma de dos nmeros con signo diferente nunca produce un desborde, pero la suma de dos nmeros de igual signo puede provocarlo.

    Afortunadamente, existe una regla simple para detectar el desborde en la suma: una suma se desborda si los signos de los sumandos son iguales y el signo de la suma es diferente al signo de los sumandos. Algunas veces la regla de desborde se establece en trminos de acarreos generados durante la operacin de suma: una suma se desborda si los bits de acarreo Cin y Cout en la posicin del signo son diferentes.

    2.3.9.3 Reglas de la resta.

    Los nmeros en complemento a dos pueden restarse como si fuesen nmeros binarios ordinarios sin signo, y pueden formularse reglas apropiadas para detectar el desborde. Sin embargo, la mayora de los circuitos para resta de nmeros en complemento a dos no realizan la resta en forma directa. Ms bien niegan el sustraendo al tomar su complemento a dos y luego lo suman al minuendo con las reglas normales de la suma.

    Negar el sustraendo y sumar el minuendo puede realizarse con una sola operacin de suma como sigue: hgase el complemento bit a bit del sustraendo, luego smese al minuendo con un acarreo inicial (c ) de 1 en vez de 0.

    El desborde en la resta puede detectarse al examinar los signos del minuendo y el sustraendo complementado, usando las mismas reglas de la suma; o bien al usar la tcnica de los ejemplos precedentes, los acarreos entrantes y salientes en la posicin del bit de signo pueden observarse, y as detectar el desborde independientemente de los signos de entrada y salida, al emplear de nuevo la misma regla que en la suma.

    2.4 CONVERSIN. 2.4.1 Conversiones entre sistemas numricos posicinales.

    De manera genrica, la conversin entre dos bases no puede hacerse por simple sustitucin; se requiere hacer operaciones aritmticas. Se mostrar cmo convertir un nmero en cualquier base a base 10 y viceversa, usando aritmtica de base 10.

    VOLVER A NDICE

  • 40

    Conversin de nmeros:

    Donde r es la base del nmero y hay p dgitos a la izquierda del punto y n a la derecha. Por lo que el valor del nmero puede calcularse al convertir cada dgito del nmero a su equivalente en base 10 y expandiendo la frmula mediante la aritmtica en base 10. Ejemplos:

    lCE816 = 1163+12162+14161 +8160 = 740010

    FlA316 = 15163+1162+10161+3160 = 6185910

    436.58 = 482+381+680+58-1 = 286.62510

    132.34 = 1.42+341+240+34-1 = 30.7510

    Una forma corta para convertir nmeros enteros a base 10 se obtiene al rescribir la expansin de la frmula como sigue:

    D = (( ((dp-1) r + dp-2) r + ...) r + d1) r + d0

    Es decir, comenzando con una suma de 0, se inicia con el dgito de la extrema izquierda, se multiplica la suma por r y se agrega el siguiente dgito a la suma, repitiendo esto hasta que todos los dgitos se hayan procesado. Por ejemplo, puede escribirse:

    FlAC16 = (((15) 16+1) 16+10) 16+12

    Aunque la frmula no es muy interesante en s misma, constituye la base para un mtodo muy conveniente de convertir un nmero decimal D a una base r. Considere lo que pasa si dividimos la frmula por r. Ya que la parte de la frmula que est en parntesis es divisible por r, el cociente ser:

    Q=(( ((dp-1) r + dp-2) r + ...) r + d1) r + d1

    VOLVER A NDICE

  • 41

    Y el residuo ser d0. Por lo que d0 puede calcularse como el residuo de la divisin larga de D entre r. Adems, el cociente Q tiene la misma estructura que la frmula original. Por consiguiente, las divisiones sucesivas por r darn dgitos sucesivos de D de derecha a izquierda, hasta que se hayan obtenido todos los dgitos de D. A continuacin se dan algunos ejemplos.

    Conversin Mtodo Ejemplo

    Binario a:

    Octal Sustitucin 101110110012 = 101 110 110 012 = 27318

    Hexadecimal Sustitucin 101110110012 = 101 1101 10012 = 5D916

    Decimal Suma 101110110012 = 11024+0512+1256+1128+1-64 + 032+116+18+04+11 = 149710

    Octal a:

    Binario Sustitucin 12348 = 001 010 011 1002

    Hexadecimal Sustitucin 12348 = 0010100111002 = 0010 1001 11002 = 29C16

    Decimal Suma 12348 = 1512+264+38+41 = 66810

    Hexadecimal a

    Binario Sustitucin C0DE16 = 1100 0000 1101 11102

    Octal Sustitucin C0DE16 = 11000000110111102 = 1 100 000 011 011 1102 = 14033610

    Decimal Suma C0DE16 = 124096+0256+1316+14.1 = 49374 10

    Decimal a

    Binario Divisin 10810= 11011002

    108 / 2 = 54 residuo 0 (LSB)

    54 / 2 residuo O

    27 / 2= 13 residuo 1

    13 / 2=6 residuo 1

    6 / 2 = 3 residuo 0

    3 / 2 = 1 residuo 1

    1 / 2=0 residuo I (MSB)

    VOLVER A NDICE

  • 42

    Octal Divisin 10810 = 1548

    108 / 8 = 13 residuo 4 (LSB)

    13 / 8=1 residuo 5

    1 /8=0 residuo 1 (el dgito ms significativo)

    Hexadecimal Divisin 10810 = 6C16

    108 / 16 = 6 residuo 12 (LSB)

    6 / 16 = 0 residuo 6 (el dgito ms significativo)

    Tabla 2.8 Mtodos para conversin de bases comunes.

    VOLVER A NDICE

  • 43

    FAMILIAS LGICAS. 3.1 SEALES LGICAS Y COMPUERTAS.

    Gracias a los circuitos lgicos digitales, se pueden implementar diseos de computadores satisfactoriamente, solo usando la lgica de conmutacin (1s y 0s), tablas de verdad y una serie de factores que hacen funcionar un circuito digital.

    Los valores lgicos de 0 y 1 son conocidos como dgitos binarios de un bit cada uno, de manera que si tenemos una combinacin de 011 diremos que se tiene un valor binario de tres bits; Para una aplicacin practica hacia un problema de digital nosotros podemos ocupar los bits que sean necesarios dependiendo a las entradas de nuestro sistema, si tenemos n entradas en nuestro circuito, habr n2 posibles combinaciones en las que podemos manipular las entradas para hallar la salida deseada de acuerdo al diseo que se pide.

    Por ejemplo si tenemos el caso de realizar un sumador de 2 bits con entradas Xn, Yn, y salida Sn (donde n representa l numero de bits de nuestro sistema en este caso n = 2), el modelo que se debe seguir para el diseo se muestra en los bloques de la figura 3.1.1. La forma de obtener la implementacin y reduccin de tablas de verdad del circuito que hace a dicho sumador se tratara posteriormente en l capitulo de diseo lgico combinacional.

    Figura 3.1.1 Bloques de un circuito lgico sumador de dos bits con acarreo.

    Un circuito lgico el cual depende nicamente de sus entradas actuales como es el caso del sumador se le conoce como circuito combinacional, su funcionamiento se describe completamente en una tabla de verdad donde se enumeran todas las combinaciones de valores de entrada como de salida. En la figura 3.1.2 se muestra la tabla de verdad del sumador.

    X1X2Y1Y2

    S1S2

    CIRCUITO LOGICOSUMADOR

    CARRY

    ENTRADAS SALIDASX1X2 Y1Y2

    S1S2CARRY C1

    MEDIO SUMADOR

    SUMADOR COMPLETO

    VOLVER A NDICE

  • 44

    Medio sumador Sumador completo

    Figura 3.1.2 Tablas de verdad de un circuito lgico sumador de dos bits con acarreo.

    Cuando se trabaja con circuitos digitales es muy comn que los diseadores utilicen

    trminos como Low (Bajo) y High (Alto), quiere decir que se estn refiriendo al termino 0 y 1 respectivamente. Esta forma de designar dichos trminos se le conoce como lgica positiva, suele ser la ms correcta sin embargo la forma de asignacin puede ser arbitraria segn se le facilite al diseador, ya que tambin se puede designar 1- Bajo y 0 - Alto, conocido como lgica negativa. 3.1.1 Compuertas Lgicas.

    La implementacin de circuitos lgicos digitales se realiza con dispositivos denominados compuertas lgicas cuyos smbolos lgicos y tablas de verdad se describen en la figura 3.1.1.1

    COMPUERTA OR. F(A,B)= A+B

    COMPUERTA AND. F(A,B)= AB

    X2 Y2 C1 Carry S1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

    0 0 0 1 0 1 1 0 0 1 1 0 1 0 1 1

    X1 Y1 C1 S1 0 0 0 1 1 0 1 1

    0 0 0 1 0 1 1 0

    ENTRADA A

    ENTRADA B

    SALIDA Y

    0 0 1 1

    0 1 0 1

    0 1 1 1

    ENTRADA

    ENTRADA B

    SALIDA Y

    0 0 1 1

    0 1 0 1

    0 0 0 1

    1

    23

    VOLVER A NDICE

  • 45

    COMPUERTA NAND. F(A,B)= A+ B

    COMPUERTA NOR. F(A,B)= AB

    COMPUERTA NOT. F(A)=Y

    Figura 3.1.1.1 Smbolos y tablas de verdad de las principales compuertas lgicas.

    Las compuertas lgicas descritas anteriormente s podra decir que son las comunes y principales, existen una gran variedad de compuertas las cuales dependen de ciertas caractersticas como; El tipo de encapsulado, l numero de entradas y la tecnologa con la que estn desarrolladas.

    Cabe mencionar que las compaas que desarrollan circuitos integrados, emplean su propia tecnologa desarrollando no nicamente compuertas lgicas, sino una gran variedad de dispositivos electrnicos como: moduladores, amplificadores, temporizadores, decodificadores etc. 3.1.2 Encapsulados.

    Generalmente los circuitos integrados vienen en una presentacin de encapsulados, existen diferentes formas de encapsulados que difieren en su tamao fsico, l numero de terminales y el circuito que contiene en el interior.

    ENTRADA A

    ENTRADA B

    SALIDA Y

    0 0 1 1

    0 1 0 1

    1 0 0 0

    ENTRADA A

    ENTRADA B

    SALIDA Y

    0 0 1 1

    0 1 0 1

    0 1 1 1

    ENTRADA A

    SALIDA Y

    0

    1

    1

    0

    1 2

    1

    23

    2

    31

    VOLVER A NDICE

  • 46

    El primer tipo de encapsulado es conocido como DIP, es el ms comn y ha estado disponible durante varios aos. Se les conoce como encapsulado de doble lnea debido a que es de forma rectangular y sus terminales se encuentran en los lados ms largos del rectngulo. En la figura 3.1.2.1 se muestra una encapsulado tipo DIP de 14 pines.

    Figura 3.1.2.1 Forma del encapsulado DIP de 14 terminales con puertas nand.

    Se puede notar que la mayora de los integrados presentan una muesca la cual nos representa que la numeracin de pines inicia comenzando con 1.

    En muchas ocasiones los fabricantes ponen a disposicin al usuario las hojas de

    especificaciones del circuito integrado, donde se presenta informacin tcnica del integrado como son: pines de entrada, salida, voltajes mximos - mnimos, pines de alimentacin, dimensiones del encapsulado y algunas veces incorpora posibles aplicaciones. De dichos trminos hablaremos mas adelante.

    Los encapsulados DIP en la actualidad son los ms populares para los prototipos y experimentacin educacional ya que su tamao se presta para su fcil manipulacin. Los nuevos mtodos de manufactura que se utilizan recientemente han dejado un poco olvidado el encapsulado tipo DIP, estamos hablando de la tecnologa de montado de superficie en la cual se coloca un circuito integrado en cojinetes conductores en la superficie de la tarjeta, mantenindose fijas en una pasta de soldadura en donde toda la tarjeta se calienta para crear una conexin slida.

    De esta tecnologa se desprende el encapsulado tipo SOIC (Circuito integrado de Perfil) conocido tambin como alas de gaviota que es un encapsulado de dimensiones pequeas y alcanza a medir 1.27cm de largo, en la Figura 3.1.2.2 se muestra el diagrama de este tipo de encapsulado

    VOLVER A NDICE

  • 47

    Figura 3.1.2.2 Forma del encapsulado SOIC de 14 terminales.

    La necesidad de ms y ms conexiones en un circuito integrado complejo ha resultado en otro encapsulado muy popular que tiene pines en los cuatro lados del chip. El PLCC (Portador de chip de plstico con terminales) en forma de J que rota debajo de circuito integrado como se muestra en la Figura 3.1.2.3. Estos dispositivos se pueden montar en un receptculo o montaje especial de PLCC.

    Figura 3.1.2.3 Forma del encapsulado PLCC de 28 terminales.

    Otro tipo de encapsulados son los QFP (Encapsulado plano cudruple y TQFP (Encapsulado plano cudruple delgado) los cuales tienen pines en los cuatro lados, son de superficie y la diferencia con los PLCC es que tiene terminales en forma alas de gaviota. Existe un encapsulado el cual es presentado en un arreglo de rejillas de bolas BGA (Matriz

    VOLVER A NDICE

  • 48

    de red de bolas de paso fino y perfil bajo) el cual es un encapsulado de montaje de superficie que ofrece una densidad aun mayor. El arreglo de rejillas de pines (PGA figura 3.1.2.4) es un encapsulado similar que se usa cuando los componentes deben estar en un encapsulado para su fcil remocin.

    Figura 3.1.2.4. Forma del encapsulado PGA (matriz de red de bolas de paso fino y

    perfil bajo). Existen otros tipos de encapsulados de manera que los mencionados aqu, son los ms comunes encontrar en tarjetas electrnicas como microcontroladores, memorias, FPGAs, PALs, GALs etc. 3.2 LGICA BIPOLAR Y TTL. La tecnologa de los circuitos integrados se ha desarrollado drsticamente, desde hace ya varios aos debido a la escala de integracin. La primera comienza con la integracin a pequea escala (SSI) donde se podan implementar hasta 12 compuertas por chip, la integracin a gran escala (MSI), con 12 a 99 compuertas por chip, la integracin a gran escala y de muy grande escala (LSI y VLSI) con las cuales se pueden obtener decenas de miles de compuertas por chip y recientemente la integracin de giga escala (GSI) con un milln, o ms de compuertas por chip. A continuacin se describe lo mencionado anteriormente.

    VOLVER A NDICE

  • 49

    Debido a esta razn en la actualidad tenemos encapsulados tan pequeos, de bajo consumo de energa y de muy bajo costo con millones de compuertas dentro de ellos. Los circuitos integrados han hecho a los sistemas digitales sean ms confiables, debido a que en su interior no existen uniones mediante soldadura defectuosa, si no que es un circuito compacto que tiene uniones compactas y bien definidas. Sin embargo tienen una desventaja, nosotros no podemos utilizar directamente grandes valores de voltaje en los circuitos, debido al calentamiento de la placa conductora, de manera que si queremos trabajar con altos voltajes necesitamos aislar nuestro circuito por medio de opto-acopladores y enseguida conectar relevadores, SCRs, TRIACs, etc.

    De esta manera se hace necesario conocer la arquitectura interna as como comprender las caractersticas elctricas de la mayora de las familias lgicas y el tipo de tecnologa que utiliza de cada familia.

    Comenzaremos por definir la Familia Lgica Bipolar basada en transistores de unin conocida tambin como la lgica de transistor-transistor (TTL transistor transistor logia.) Presentada por primera vez en la dcada de 1960, es la familia de familias la cual es compatible con cada una de las otras las cuales difieren nicamente en la velocidad, consumo de energa y costo.

    Las familias lgicas bipolares para su funcionamiento estn conformadas por diodos, resistencias y transistores. Los elementos bipolares ms simples hacen el uso de diodos y resistores posteriormente el transistor para elementos un poco mas sofisticados a continuacin describiremos el principal funcionamiento de estos dispositivos. 3.2.1 Diodos.

    Es un dispositivo semiconductor el cual esta compuesto por dos tipos de materiales semiconductores llamados tipo p y tipo n el cual es fabricado a partir de un cristal monoltico en la cual las dos mitades son dopadas o se les asigna diferentes impurezas para darles propiedades tipo p y tipo n. El diodo es un dispositivo de dos terminales y cuyo smbolo se muestra en la figura 3.2.1.1

    VOLVER A NDICE

  • 50

    + -

    Figura 3.2.1.1 Smbolo del Diodo.

    Si un voltaje negativo en relacin con la direccin de referencia indicada en la figura 3.2.1 se aplica al diodo, no circula corriente y el diodo se comporta como un circuito abierto como se muestra en la figura 3.2.1.2 Se dice que los diodos que operan de este modo estn inversamente polarizados, o que operan en direccin inversa.

    Un diodo ideal tiene corriente cero cuando opera en direccin inversa y se dice que

    esta en corte. La terminal positiva se denomina nodo y la terminal negativa se conoce con el nombre de ctodo.

    + -V

    --->i

    vi=0

    Figura 3.2.1.2 Diodo polarizado inversamente.

    Por otra parte, si una corriente positiva se aplica al diodo ideal, en sus terminales aparece una cada de voltaje igual a cero. En otras palabras el diodo ideal se comporta como un corto circuito en la direccin positiva as que pasa cualquier corriente con cada de voltaje cero. Se dice que un diodo que conduce en direccin positiva esta conduciendo o simplemente conduce. Este hecho se muestra a continuacin en la Figura 3.2.1.3.

    + -V

    --->i

    i>0=>v=0

    Figura 3.2.1.3 Diodo polarizado directamente.

    VOLVER A NDICE

  • 51

    De esta forma definido su funcionamiento, a continuacin se describe su operacin para realizar operaciones lgicas, para ello es necesario mostrar las caractersticas de niveles lgicos que se muestran en la tabla 3.2.1.1

    Nivel de seal Denominacin Valor lgico binario 0-2 volts BAJO 0 2-3 volts margen de ruido indefinido 3-5 volts ALTO 1

    Tabla 3.2.1.1 Niveles lgicos en un diodo.

    Con estas definiciones una compuerta AND se puede construir como se muestra en el diagrama de la figura 3.2.1.4.

    X

    Y

    Z

    5 v

    D2

    D1

    Figura 3.2.1.4 Compuerta AND con diodos. Como podemos ver el anlisis del circuito es sencillo ya que cualquier valor bajo en la entrada X, Y hace que la salida se aterrice a tierra teniendo como salida un valor de voltaje bajo de 0 volts. De esta manera la nica forma en que Z cambie el valor de 1 es que las entradas tengan una entrada en alto.

    VOLVER A NDICE

  • 52

    3.2.2 Transistor de unin bipolar.

    Los transistores se pueden clasificar en dos tipos, segn las uniones semiconductoras: npn y pnp. En la figura 3.2.2.1 se muestran las uniones, smbolos y su representacin como diodos. De estos dos tipos de transistores, los ms empleados son los transistores npn ya que presentan una ganancia mayor, y por lo tanto sern los ms rpidos. Est basada en el transistor multi-emisor. Este transistor es un transistor con varios emisores, una sola base y un solo colector.

    Debido a la aparicin de dos diodos en cada transistor, estos transistores muestran cuatro zonas de operacin las combinaciones de las diferentes zonas de cada diodo. En la figura 3.2.2.2 se muestran dichas zonas y sus principales propiedades. Zona de corte. El transistor se comporta como un circuito abierto, por lo que no circula intensidad por ninguno de sus terminales. En esta zona los dos diodos se encuentran cortados.

    Zona activa directa, u zona hmica. El transistor se comporta como un amplificador de intensidad desde la base hasta el colector. En este caso, el diodo base-emisor est conduciendo, mientras que el base-colector est cortado.

    N P NE

    B

    C

    CE NP

    B

    P

    B

    C

    E

    B

    C

    E

    Figura 3.2.2.1. Uniones, smbolos y representacin con diodos de transistores bipolares. Zona activa inversa. Es una zona parecida a la anterior, pero cambiando los terminales de emisor y colector. La principal diferencia (aparte de la anterior) es que la amplificacin es sustancialmente menor.

    VOLVER A NDICE

  • 53

    Zona de saturacin. El transistor se comporta como un cortocircuito entre colector y emisor, que debido a las diferencias geomtricas de ambas uniones mantiene una pequea tensin. En esta zona los dos diodos se encuentran conduciendo.

    Figura 3.2.2.2. Zonas de operacin de los transistores bipolares. 3.3 FAMILIA TTL.

    Esta familia lgica es la ms comn y es la que ha sido durante mucho tiempo la ms socorrida debido a su fcil adquisicin. La identificacin de la familia se hace con los dos primeros dgitos del nmero del dispositivo; por ejemplo 74XX indica que se trata de un circuito integrado TTL estndar, comercial y que tiene un intervalo de operacin de 0 a 70 C. La serie 54XX (tambin TTL estndar) es utilizada para trabajar en circunstancias ms severas y es utilizada para especificaciones militares, debido a que sus rangos van de 55 C a +125 C.

    De la familia TTL estndar se desprenden una serie de subfamilias las cuales

    dependen del tipo de dispositivos utilizados para la construccin de circuitos integrados. La forma de reconocer los dispositivos con los que esta implementado dicho CI es con letras despus de 54XX o 74XX.

    VOLVER A NDICE

  • 54

    Las subfamilias TTL son las siguientes:

    Ninguna letra TTL estndar LS Schottky de bajo consumo de potencia S Schottky L Bajo consumo de potencia ALS Schottky avanzada de bajo consumo de potencia AS Schottky avanzada F TTL Schottky avanzada de Fairchild (FAST)

    La numeracin que sigue despus de la subfamilia seala la funcin del CI. Por ejemplo; el 54L10 es una compuerta NAND (tres compuertas en un CI) triple de tres entradas de bajo consumo de potencia que satisface especificaciones militares, la 74LS32 es una compuerta OR cudruple (cuatro compuertas en un CI) de dos entradas schottky de bajo consumo de potencia.

    La serie TTL estndar tiene retardos de propagacin mximos de 2 ns y disipaciones de potencia mxima de 20mW por cada compuerta lgica. La serie TTL de bajo consumo de potencia tiene retardos de propagacin de 60 ns y disipaciones de potencia mximas de 2mW por cada compuerta lgica.

    La serie TTL de alta velocidad tiene retardos de propagacin mximos de 10 ns y

    disipaciones de potencias mximas mxima de 40 mW por compuerta. Los retardos de propagacin se reducen aun ms con en las compuertas TTL sujetas al diodo schottky con 5 ns y disipaciones de potencia mximas de 36 mW.

    3.3.1 Caractersticas Elctricas TTL.

    Generalmente es necesario conocer las diferentes caractersticas elctricas que tiene cada compuerta debido a que pueden ser ocupadas en una practica determinada, as que es necesario saber cuales son los pines de entrada, pines de salida, pines de alimentacin, voltajes y corriente que nos puede suministrar etc. Para dicho trabajo las empresas que se dedican a la construccin de circuitos integrados ponen a disposicin del usuario, manuales que fcilmente podemos encontrar en internet o en la pagina de las empresas que las fabrica, tal es el caso de empresas como; Texas Instruments, National, Instruments, Farchild, Motorola, por mencionar algunas de las mas importantes. A continuacin se muestra una hoja caracterstica donde se muestran los valores elctricos de una compuerta NAND (Figura 3.3.1.1) comprendidos en los manuales.

    VOLVER A NDICE

  • 55

    Figura 3.3.1.1. Hoja de caractersticas elctricas que presentan los manuales.

    Mencionaremos algunos parmetros importantes que describen las caractersticas elctricas que vienen en los manuales de circuitos integrados. VIH Voltaje de entrada en el nivel alto de la compuerta, el cual aparece con un valor

    mnimo de 2 V. Para que una entrada sea reconocida como nivel 1 debe tener al menos 2V, as que una entrada en nivel 1 puede variar entre 2 V y Vcc =5V.

    VOH Voltaje de salida en el nivel alto, es el voltaje el cual tiene un valor mnimo de 3.5V. As que una salida con nivel 1 puede variar entre 3.5 V y Vcc.

    Si una compuerta proporciona al menos 3.5V para el nivel 1 y la compuerta que

    sigue puede reconocer hasta 2 V como 1, podemos ver que hay una diferencia de 1.5V entre los niveles, este margen de inseguridad re