nuevas aportaciones a las tecnologías electrónicas para la

216
Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica Programa de Doctorado: Comunicaciones, Electrónica y Control Luciano Casado Martínez Tesis doctoral dirigida por Gerardo Aranguren Aramendía Bilbao, Julio 2011

Upload: others

Post on 23-Oct-2021

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural

en Aeronáutica

Programa de Doctorado: Comunicaciones, Electrónica y Control Luciano Casado Martínez Tesis doctoral dirigida por Gerardo Aranguren Aramendía

Bilbao, Julio 2011

Page 2: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 3: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 4: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 5: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 6: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 7: Nuevas Aportaciones a las Tecnologías Electrónicas para la

I

Resumen En esta tesis doctoral se proponen varias arquitecturas electrónicas para automatizar la monitorización de integridad estructural (del inglés Structural Health Monitoring o SHM) de las aeronaves, utilizando un equipamiento o instrumentación basado en el método de ondas guiadas ultrasónicas u ondas de Lamb y específicamente diseñado para esta tarea. En la tesis se proponen dos arquitecturas electrónicas de SHM basadas en el método de ondas de Lamb: una arquitectura básica capaz de poner en práctica técnicas de análisis elementa-les, como inspecciones pitch-catch/pulse-echo o análisis round-robin, y una arquitectura avanzada dotada de mayores prestaciones y flexibilidad, apropiada para poner en práctica técnicas avanzadas de SHM, como beamforming o time reversal, entre otras. Ambas arqui-tecturas electrónicas hacen uso de un array de transductores piezoeléctricos adheridos o embebidos en la estructura que se desea monitorizar. Dichas arquitecturas se han planteado para su uso en un escenario de laboratorio y también en un escenario embarcado (en una aeronave) para experimentos puntuales, ya que pueden funcionar en modo autónomo, au-tomático y en tiempo real. La investigación se ha desarrollado en tres fases, denominandose a la línea de investigación Phased Array Monitoring for Enhanced Life Assessment (PAMELA), dando lugar a las dos arquitecturas electrónicas mencionadas y a tres prototi-pos: PAMELA v1, PAMELA v2 y PAMELA v3. Las soluciones propuestas han sido vali-dadas y verificadas mediante pruebas de laboratorio utilizando tanto estructuras metálicas como de material compuesto.

Abstract This thesis presents electronic arquitectures to perform in-situ structural health monitoring (SHM) of aircraft’s structures using specifically designed equipment based on the guided wave ultrasonic method or Lamb waves’ method. In this document two electronic arquitec-tures based on the Lamb waves’ method are proposed: a basic arquitecture able to carry out elemental analysis techniques, such as pitch-catch/pulse-echo inspections or a round-robin analysis, and an advanced electronic arquitecture that includes better capabilities and a greater flexibility, that takes into account any currently available complex analysis tech-nique, such as beamforming or time reversal. The proposed electronic arquitectures moni-tors the structure by means of piezoelectric transducers embedded or bonded to the struc-ture. Those arquitectures have been developed for laboratory and on-board use (only for certain experiments), due to their autonomous, automatic and in real-time working proce-dure. The research has been carried out in the three periods of the Phased Array Monitoring for Enhanced Life Assessment (PAMELA) research line, designing the electronic arquitec-tures previously mentioned and developing three prototypes: PAMELA v1, PAMELA v2 and PAMELA v3. The arquitectures and solutions proposed have been validated and veri-fied in laboratory tests using metallic and composite specimens.

Page 8: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II

Page 9: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III

Prólogo No cabe duda de que si a alguien concierne la investigación que se explica en este documen-to de tesis doctoral es a la industria aeronáutica y a la ingeniería de telecomunicaciones. Les concierne respectivamente por el carácter de su objetivo y por la naturaleza de las soluciones ideadas para alcanzarlo. De este modo, me dirijo a la industria aeronáutica, la de los fabricantes y suministradores de estructuras aeronáuticas, la de las aerolíneas, la de los organismos reguladores del sector y la de las empresas proveedoras de tecnología. Pero también me dirijo a las empresas de inge-niería y a la comunidad de investigadores y desarrolladores de tecnologías aeronáuticas. A todos ellos, quiere esta investigación suscitar interés y discusión. En cuanto al tema de este estudio, aunque pudiera parecer que ésta es una investigación en el sector aeronáutico, debe precaverse al lector de que el aeronáutico es exclusivamente el sector de aplicación de los conocimientos e innovaciones generados y, sin embargo, la natu-raleza de dichos conocimientos e innovaciones puede extenderse a otros campos de aplicación como son la obra civil, la ingeniería naval o la ingeniería de renovables, entre otras. Es por tanto este documento de tesis una expresión de la confluencia de la técnica de ambos campos: las telecomunicaciones y el sector aeronáutico. El primero es la fuente de los méto-dos y tecnologías empleados para la consecución de los objetivos generales en el segundo: la mejora de la seguridad en la operación aeronáutica y la reducción de los costes de manteni-miento. Al mismo tiempo, puesto que el progreso técnico que ha supuesto esta investigación se ha dado en el campo de la ingeniería de telecomunicaciones, y en concreto en el programa de doctorado Comunicaciones, Electrónica y Control, es también en estos campos en los que se espera la evaluación y crítica del lector. Ahora bien, no puede negarse que al adentrarme en el campo aeronáutico he encontrado retos y dudas que por mi mismo no estaba en disposición de superar. Su superación sí ha sido posible gracias a la experiencia previa del director de esta tesis en sectores de gran exi-gencia técnica como el ferroviario y a la colaboración de empresas aeronáuticas. La guía y supervisión de todos ellos han resultado inestimables para lograr los objetivos de la investi-gación. Sobre la forma en la que se ha resumido la investigación en este documento de tesis, ésta persigue dos objetivos: dejar claro el contexto del estado de la técnica y presentar los progre-sos que propone esta investigación sobre dicho estado. Con ánimo de no extender en exceso la longitud del documento y de no sobrecargar al lector, se ha evitado, por lo general, repro-ducir los esquemás electrónicos y el código de las rutinas de software. Además, para facilitar la comprensión, se ha incluido como anexo un glosario de términos que podrá consultarse para aclarar siglas, tecnicismos, nombres propios y algunas licencias tomadas en el lenguaje empleado, quizás no propias de la lengua castellana, pero sí de los entornos técnicos que atañen a esta investigación. Esta tesis se compone de cinco capítulos. El primer capítulo expone el contexto de la investi-gación que suscita este documento, sus objetivos, los recursos y tecnologías utilizadas y el

Page 10: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV

método investigador seguido. En el segundo capítulo, se detallan los conceptos clave sobre las técnicas de testeo no destructivo y su importancia hoy día en el sector aeronáutico, y se propone una vía de investigación que permita la consecución de los objetivos planteados en el primer capítulo. En el tercer capítulo, se presentan los fundamentos del método de ondas guiadas ultrasónicas, las técnicas de análisis básicas utilizadas durante la monitorización y se plantea el diseño de una arquitectura electrónica básica capaz de poner en práctica dichas técnicas. En el cuarto capítulo, se va un paso más allá presentando las técnicas avanzadas de análisis utilizadas con el método de ondas guiadas ultrasónicas y se plantea el diseño de una arquitectura avanzada que sea, asimismo, capaz de ponerlas en práctica. El capítulo quinto cierra esta tesis con las conclusiones finales de la investigación y la descripción de las líneas de trabajo futuro desveladas por la misma. Me gustaría concluir este prólogo agradeciendo el apoyo de todo el equipo del Grupo de Di-seño Electrónico de la Universidad del País Vasco (UPV), la disponibilidad de instalaciones de la propia UPV, los conocimientos adquiridos en el programa de doctorado de Comunica-ciones, Electrónica y Control del Departamento de Electrónica y Telecomunicaciones, los recursos aportados por la empresa AERnnova Engineering Solutions S.A., con un agrade-cimiento especial al ingeniero aeronáutico Valerijan Cokonaj, y la necesaria financiación proporcionada por la propia empresa AERnnova, por el programa CENIT del Gobierno Es-pañol y por el programa ETORTEK del Gobierno Vasco, en el cual se enmarcan los proyec-tos AIRHEM I y AIRHEM II. Todos ellos han contribuido de una manera apreciable para ayudarme a alcanzar el objetivo de la investigación.

Page 11: Nuevas Aportaciones a las Tecnologías Electrónicas para la

V

A mi familia

Page 12: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI

Page 13: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VII

AGRADECIMIENTOS PERSONALES

A mis padres, Fidel Ángel Casado y Francisca Martínez, a mi

abuela, Arcensina Limia, y a mi abuelo, Luciano Martínez, porque sin ellos esta tesis no sería una realidad.

A mi director y tutor de tesis, Dr. Gerardo Aranguren Ara-mendía, por la confianza depositada desde el primer momento, así como por orientarme durante el transcurso de la tesis.

Al Dr. Luis Antonio López Nozal, por el interés mostrado an-tes, durante y después de la redacción de este documento.

A mis amigos, Alex Santos, Eder Miguel, Luis Serrano y Gorka Fiel, por el apoyo y la confianza demostrada en todo momento.

A mis compañeros y amigos del Grupo de Diseño Electrónico, Borja Martínez, Garazi Juez y Pedro Monje, que con sus aportacio-nes han contribuido a la realización de esta tesis.

A todos aquellos que se han preocupado por el desarrollo de este trabajo, me han apoyado y animado a seguir adelante en los momentos difíciles.

A todos ellos, dedico este documento.

Page 14: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VIII

Page 15: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IX

ÍNDICE

I. Introducción .................................................................................................................1

1. Contexto .............................................................................................................. 1

2. Objetivos ............................................................................................................. 3

3. Recursos .............................................................................................................. 4

4. Tecnologías ......................................................................................................... 5

5. Metodología........................................................................................................ 7

II. El SHM y el entorno aeronáutico .............................................................................9

1. Fundamento de los sistemas de SHM............................................................. 9

2. Las técnicas de testeo no destructivo en aeronáutica................................. 12

3. Importancia y carencias del análisis de integridad estructural ................ 20

4. Vía de investigación propuesta ..................................................................... 28

III. Técnicas y sistemas básicos para SHM.................................................................33

1. La técnica de ondas guiadas ultrasónicas .................................................... 33

2. Las ondas elásticas........................................................................................... 35

3. Transductores piezoeléctricos........................................................................ 42

4. Técnicas de análisis básico con ondas de Lamb.......................................... 47

5. Escenarios de SHM.......................................................................................... 51

6. Una primera aproximación ............................................................................ 52

7. Arquitectura electrónica propuesta para un sistema básico de SHM...... 57

8. Desarrollo del sistema prototipo demostrador ........................................... 66

9. Pruebas realizadas ........................................................................................... 67

10. Difusión......................................................................................................... 71

11. Resumen........................................................................................................ 71

Page 16: Nuevas Aportaciones a las Tecnologías Electrónicas para la

X

IV. Técnicas y sistemas avanzados para SHM ...........................................................73

1. Técnicas de análisis avanzado con ondas de Lamb.................................... 74

2. Arquitectura electrónica propuesta para un sistema avanzado de SHM 90

3. Módulo de Excitación ..................................................................................... 97

4. Módulo de Adaptación................................................................................. 108

5. Módulo de Adquisición................................................................................ 109

6. Módulo de Detección de Impactos.............................................................. 123

7. Módulo de Procesamiento y Control.......................................................... 125

8. Módulo de Almacenamiento ....................................................................... 129

9. Módulo de Comunicaciones ........................................................................ 134

10. Módulo de Alimentación.......................................................................... 136

11. Consideraciones del circuito impreso..................................................... 140

12. Adaptador de transductores piezoeléctricos......................................... 145

13. Desarrollo del sistema prototipo demostrador ..................................... 146

14. Software ...................................................................................................... 150

15. Pruebas realizadas ..................................................................................... 160

16. Difusión....................................................................................................... 165

17. Resumen...................................................................................................... 166

V. Conclusiones y líneas futuras...............................................................................169

VI. Referencias ...............................................................................................................177

VII. Anexo. Glosario de términos ................................................................................189

Page 17: Nuevas Aportaciones a las Tecnologías Electrónicas para la

XI

Page 18: Nuevas Aportaciones a las Tecnologías Electrónicas para la

XII

Page 19: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 1

I. Introducción Esta tesis doctoral presenta el trabajo realizado en el ámbito de las Comunicaciones, la Electrónica y el Control por parte de Luciano Casado Martínez, en el periodo comprendido entre los años 2007 y 2011. El objetivo general de este trabajo es realizar nuevas propuestas en tecnologías y arquitecturas electrónicas para mejorar la seguridad operacional de las estructuras aeroespaciales y reducir los costes de mantenimiento estructurales de las aerona-ves. Los siguientes apartados de esta introducción detallan el contexto, los objeti-vos, los recursos, las tecnologías empleadas y la metodología aplicada en el trans-curso de la investigación.

1. Contexto

El autor de esta tesis doctoral, Luciano Casado Martínez, obtuvo el título de Inge-niero de Telecomunicaciones en la Escuela Técnica Superior de Ingeniería (ETSI) de Bilbao en noviembre de 2007. Desde 2005, ha colaborado como miembro investiga-dor en múltiples proyectos del Grupo de Diseño Electrónico (GDE), bajo la direc-ción del Doctor Gerardo Aranguren Aramendía. Este grupo de investigación, GDE, ha realizado proyectos importantes en uno de los ámbitos más estrictos y exigentes que existen: el ferroviario. En 2006, las inves-tigaciones del grupo amplían el campo ferroviario y se dirigen hacia la aviónica (electrónica aplicada a la aviación), bajo el auspicio de la empresa AERnnova Engi-neering Solutions Ibérica S.A. [Aernnova Engineering Solutions Ibérica S.A. 2011a]. Durante el desarrollo de la investigación, Luciano Casado ha participado como investigador contratado en la realización de estos trabajos junto con otros miem-bros del grupo y otras entidades. En el año 2006, dentro de la estrategia de I+D+i de AERnnova, se comienza la cola-boración alrededor del desarrollo de equipos para SHM (del inglés Structural Health Monitoring o Monitorización de Integridad Estructural). La colaboración con el GDE consiste en el diseño de sistemas para automatizar los procesos de mo-nitorización de integridad estructural y desarrollar, finalmente, un sistema de SHM embarcable (para ensayos puntuales) que funcione de manera autónoma, automá-tica y en tiempo real. La colaboración entre AERnnova y el GDE se materializa en el proyecto PAMELA (Phased Array Monitoring for Enhanced Life Assesment). Las primeras investiga-ciones dan lugar a un prototipo experimental, denominado PAMELA v1, el cual permite familiarizarse con el método de ondas guiadas ultrasónicas y examinar las características de las ondas de Lamb. Esta primera aproximación es de gran ayuda a la hora de poner en práctica la técnica de ondas guiadas ultrasónicas de una ma-nera rápida y efectiva.

Page 20: Nuevas Aportaciones a las Tecnologías Electrónicas para la

I. Introducción

2 Luciano Casado Martínez

En el año 2007, se inicia la segunda fase de la investigación, que se extiende duran-te todo el año 2008. En esta fase, se realiza una investigación alrededor de las técni-cas de análisis básicas en sistemas de SHM basados en ondas guiadas ultrasónicas. Los conocimientos adquiridos dan lugar, en este caso, a proponer una arquitectura electrónica básica y desarrollar un segundo prototipo, denominado PAMELA v2. Este prototipo corresponde a un sistema capaz de funcionar de manera automática y autónoma, incluso en tiempo real, pudiendo poner en práctica técnicas básicas de análisis, como inspección pulse-echo, análisis round-robin, u otras. A finales del año 2008, se inicia una nueva colaboración con la empresa AERnnova, que da lugar a la tercera fase del proyecto PAMELA. En este ámbito, el GDE parti-cipa en una solicitud dentro del programa CENIT, en el proyecto ICARO (Innova-ción en Composites Avanzados y Rear-end Optimizado), que recibe una financia-ción de 34,7 millones de euros. El proyecto ICARO está desarrollado por un con-sorcio de investigación de la industria aeroespacial española (Figura 1) que fue es-tablecido en 2008 con una duración de 4 años. El consorcio está formado por 12 socios empresariales y más de 20 Centros de Investigación y Universidades, siendo el líder del proyecto Airbus España [Proyecto ICARO 2011]. Por su parte, el pro-grama CENIT se trata de una actuación estratégica del Programa Ingenio 2010 [Programa Ingenio 2010 2011], financiado por el CDTI [CDTI 2011], iniciativa que fue presentada por el Gobierno español para incrementar la inversión en I+D+i tanto pública como privada.

Figura 1 – Consorcio del proyecto ICARO, señalando los miembros que participan en el proyecto PAMELA. En rojo se indica la participación del Grupo de Diseño Electrónico de la Universidad del País Vasco, y en azul la participación de la empresa AERnnova Engineering Solutions Ibérica S.A. y de la Universidad Politécnica de Madrid [Proyecto ICARO 2011]. Desde finales de 2008 y hasta diciembre de 2011, el GDE está trabajando junto con AERnnova y el Grupo de Investigación en Instrumentación y Acústica Aplicada de

Page 21: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 3

la Universidad Politécnica de Madrid (UPM) en una arquitectura electrónica avan-zada de análisis con ondas guiadas ultrasónicas, que se materializa en el prototipo PAMELA v3. La tesis doctoral presentada por Luciano Casado abarca las investigaciones lleva-das a cabo durante las tres fases del proyecto PAMELA, principalmente las dos últimas, que están encaminadas a plantear la arquitectura de un sistema de SHM autónomo, automático y embarcable. Los trabajos realizados se mostrarán en deta-lle en capítulos posteriores.

2. Objetivos

En este documento de tesis se puede destacar un objetivo principal y varios objeti-vos parciales derivados del principal.

• Objetivo principal: propuesta de automatización de los sistemas de SHM El objetivo principal de esta tesis doctoral es automatizar la monitorización de inte-gridad estructural de las aeronaves utilizando un equipamiento diseñado específi-camente para esta tarea. La necesidad de un equipamiento diseñado específicamen-te se argumentará en capítulos posteriores. El objetivo principal de la tesis doctoral se descompone en los siguientes objetivos parciales (más detalles sobre las particularidades que hacen de estos objetivos apor-taciones singulares a la ciencia se explican en el capítulo II).

• Objetivo parcial 1: la reducción de los costes de mantenimiento de las aero-naves

La gran cantidad de tareas de mantenimiento y supervisión a las que se somete una aeronave durante su vida útil, hace que los costes de mantenimiento que debe so-portar una aerolínea sean muy elevados. La instalación de sistemas de SHM autó-nomos y automáticos en los elementos más críticos de la estructura permitiría dis-minuir la frecuencia de las operaciones de mantenimiento y los costes de dichas operaciones. Este objetivo parcial se plantea y analiza en el capítulo II.

• Objetivo parcial 2: la mejora de la seguridad en la operación de las aerona-ves

Analizar el problema de la seguridad en el funcionamiento de las aeronaves, con las implicaciones que supone el estado de las estructuras aeronáuticas, y la mejora que se logra en dicha seguridad utilizando sistemas de SHM. Este aspecto se plan-tea también en el capítulo II.

• Objetivo parcial 3: el estudio de las técnicas de testeo no destructivo utiliza-das en aeronáutica

Page 22: Nuevas Aportaciones a las Tecnologías Electrónicas para la

I. Introducción

4 Luciano Casado Martínez

Analizar las técnicas de testeo no destructivo utilizadas en la actualidad en el cam-po aeronáutico y su aplicación en sistemas de SHM que permitan realizar ensayos automáticos, autónomos y en tiempo real de las estructuras aeronáuticas. Este as-pecto se plantea de forma genérica en el capítulo II. En el capítulo III se plantean las técnicas básicas seleccionadas y en el capítulo IV las técnicas más avanzadas.

• Objetivo parcial 4: el planteamiento de soluciones en forma de arquitecturas electrónicas de SHM

Idear, diseñar y desarrollar un conjunto de arquitecturas electrónicas que permitan la consecución de los objetivos parciales 1 y 2, utilizando las técnicas estudiadas en el objetivo parcial número 3. Las diferentes soluciones adoptadas en esta línea se analizarán en los capítulos III y IV.

3. Recursos

Además del propio autor de la tesis, bajo supervisión y guía del director, destaca la implicación del grupo de investigación GDE del departamento de Electrónica y Telecomunicaciones de la Universidad del País Vasco, que ha dado al autor de esta tesis la oportunidad de realizar la investigación aquí expuesta. El GDE ha propor-cionado su ayuda mediante:

• Los conocimientos en el ámbito de las comunicaciones, la electrónica y el control de sus miembros, en particular, del director de la tesis Dr. Gerardo Aranguren, y de los colaboradores Pedro María Monje, Borja Martínez y Ga-razi Juez.

• El equipamiento informático y las licencias del software requeridas. Dejando a un lado las licencias correspondientes al entorno Windows y su suite ofi-mática Office, han sido necesarias principalmente las licencias de los pro-gramas Cadence Allegro SPB v16.2, Xilinx ISE Desing Suite 10.1, Analog Devices VisualDSP++ 4.5, y Visual Studio .NET, entre otros.

• El acceso a redes de información, revistas, artículos y libros en el ámbito de la investigación.

• La financiación necesaria para acudir a cursos sobre SHM y ensayos no des-tructivos. Es el caso del curso titulado Structural Health Monitoring que fue impartido en diciembre de 2009 en la Universidad Politécnica de Cataluña [Boller et al. 2009], además del seminario sobre Ensayos no Destructivos im-partido en la propia ETSI de Bilbao por la Asociación Española de Ensayos no Destructivos [AEND 2009], también en diciembre de 2009.

• La instrumentación o equipos de medida, como: osciloscopios, generadores de señal, analizadores lógicos y multímetros.

• Los equipos y otros medios para la construcción de prototipos electrónicos.

Page 23: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 5

• Las instalaciones del laboratorio de trabajo. Por otra parte, hay que subrayar la participación en esta investigación de la empre-sa AERnnova y del Grupo de Investigación en Instrumentación y Acústica Aplica-da de la UPM. Por una parte, la empresa AERnnova ha proporcionado información a través de las frecuentes reuniones realizadas para recabar un conocimiento exacto de la problemática tratada y validar las aproximaciones de esta tesis. Asimismo, resultan fundamentales para la consecución de los objetivos de esta tesis: una fi-nanciación económica, el acceso a elementos estructurales aeronáuticos y la dispo-nibilidad de transductores para la realización de los ensayos. En este sentido, AERnnova ha proporcionado:

• Secciones de estructuras metálicas y de material compuesto utilizadas en la fabricación de aeronaves actuales, sobre las que realizar las pruebas de labo-ratorio.

• Transductores de material piezoeléctrico, tanto instalados en adaptadores como aislados, para la realización de las pruebas de verificación en laborato-rio.

• Financiación de los costes de la investigación: equipamiento, desarrollo de prototipos y recursos humanos. Acceso a la mencionada subvención CENIT (proyecto ICARO).

Por otra parte, el Grupo de Investigación en Instrumentación y Acústica Aplicada de la UPM ha colaborado en la investigación con las siguientes aportaciones:

• Integración de algoritmos de tratamiento de señal con las arquitecturas elec-trónicas de SHM planteadas.

• Interfaces HMI (Human Machine Interface) diseñados específicamente para controlar y configurar cada una de las arquitecturas electrónicas.

4. Tecnologías

Las tecnologías implicadas en la realización de esta tesis representan forman parte de tres áreas tecnológicas fundamentales:

• Hardware. Las arquitecturas electrónicas planteadas han sido construidas mediante un hardware específico, habiéndose realizado un proceso de selec-ción de componentes y la integración de los mismos. El diseño de la arqui-tectura se ha plasmado en una serie de circuitos impresos o PCB (Printed Circuit Board) donde se alojan los circuitos electrónicos, tanto analógicos como digitales. Tanto el diseño electrónico como la creación de los circuitos impresos han sido realizados con la herramienta Cadence Allegro SPB v16.2.

Además, se ha realizado una serie de pruebas de laboratorio, en la cual, uti-lizando placas de evaluación de los circuitos electrónicos propuestos, se ha validado el diseño de las arquitecturas electrónicas previo a la creación del

Page 24: Nuevas Aportaciones a las Tecnologías Electrónicas para la

I. Introducción

6 Luciano Casado Martínez

circuito impreso correspondiente. El desarrollo de estas pruebas, aunque necesario para esta investigación, se considera fuera de los objetivos princi-pales de este documento de tesis doctoral. Por otra parte, la lógica interna del dispositivo FPGA (Field Programmable Gate Array) presente en la arquitectura electrónica avanzada (capítulo IV) ha sido desarrollada mediante la aplicación Xilinx ISE Desing Suite 10.1. Es-ta etapa de diseño incluye todos los dispositivos necesarios dentro de la FPGA, como generadores de señal, interfaz JTAG, el sistema de adquisición de datos, las líneas de comunicaciones, la unidad de procesamiento y con-trol, y otros.

• Software y firmware. Se ha requerido el dominio de tecnologías de desarrollo

software, lenguajes de programación y entornos de desarrollo software.

La plataforma .NET ha sido empleada para el desarrollo de interfaces gráfi-cas HMI (Human Machine Interface) que han permitido configurar las ar-quitecturas electrónicas para las pruebas de laboratorio realizadas en el GDE, antes de que el Grupo de Investigación en Instrumentación y Acústica Aplicada de la UPM en una etapa posterior se encargara de crear un interfaz definitiva con capacidades de procesamiento de señal. El firmware necesario en la arquitectura electrónica básica (capítulo III) se ha desarrollado mediante el entorno de desarrollo VisualDSP++ 4.5 de Ana-log Devices, basado en los lenguajes C/C++. Por su parte, el firmware de la arquitectura electrónica avanzada (capítulo IV) se ha realizado en el entorno de desarrollo Linux, con distribución Ubuntu. Las tareas realizadas en este caso han comprendido la compilación de un sistema operativo Linux embe-bido (incluyendo la configuración del kernel y la creación de drivers de dis-positivos a nivel de kernel) y el desarrollo de un programa de control y con-figuración de la arquitectura mediante el compilador cruzado ELDK 4.1.

• Comunicaciones. En las arquitecturas desarrolladas existen interfaces con va-

rios equipos y esto requiere un conocimiento y experiencia amplios en el di-seño y desarrollo de protocolos de comunicaciones.

En concreto, se ha empleado el estándar de comunicaciones Ethernet, con su correspondiente configuración, para la transmisión de datos entre las arqui-tecturas electrónicas y los computadores externos de control y configura-ción. Se han desarrollado nuevos protocolos sobre la pila de protocolos TCP/IP. También se han construido interfaces cliente/servidor para la co-municación entre las arquitecturas electrónicas de SHM y los equipos exter-nos. Además, se ha utilizado el sistema de comunicaciones RS232 para go-bernar el primer prototipo experimental de la investigación.

Page 25: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 7

5. Metodología

El método aplicado en la realización de esta tesis doctoral se beneficia de tres cir-cunstancias particulares: el contacto directo con empresas del sector aeronáutico, la experiencia del grupo de investigación en sectores de gran exigencia técnica y la existencia de varios proyectos como marco de financiación de la investigación. Las actividades realizadas en el transcurso de esta investigación se estructuran en varias fases. La primera fase ha consistido en el análisis del estado de la tecnología, donde el autor se ha planteado la manera de automatizar los procesos de monitori-zación de integridad estructural para llegar finalmente a un sistema de SHM em-barcable que funcione de manera autónoma, automática y en tiempo real. Para ello, se ha realizado un estudio sobre las técnicas de testeo no destructivo existentes en la actualidad en el entorno aeronáutico para determinar la más adecuada de cara a abrir una vía de investigación (capítulo II).

Una vez escogida la vía de investigación alrededor de la técnica de ondas guiadas ultrasónicas, se ha desarrollado un primer prototipo experimental (PAMELA v1) y su interfaz de configuración HMI. De este modo, las partes implicadas en el proyec-to han podido familiarizarse con el método de ondas guiadas ultrasónicas de una manera sencilla y efectiva (aspecto comentado en el capítulo III). En una segunda fase, se ha planteado una arquitectura electrónica básica basada en el método de ondas guiadas ultrasónicas. Este diseño dota a un sistema SHM de la capacidad para utilizar técnicas de análisis básicas, como los métodos de inspección pulse-echo o pitch-catch, el análisis round-robin, etc. Un equipo diseñado en base a esta arquitectura podría ser embarcado en una aeronave para realizar análisis au-tomáticos durante la vida útil de la estructura. De cara a demostrar la viabilidad de la arquitectura básica diseñada, se ha desarro-llado un prototipo basado en dicha arquitectura, de nombre PAMELA v2. Siguien-do un protocolo de comunicaciones definido para la ocasión, se han desarrollado un firmware interno y un interfaz HMI que se ejecuta en un PC con Windows. En colaboración con la empresa AERnnova Engineering Solutions Ibérica S.A., se ha sometido a una fase de pruebas al prototipo PAMELA v2. Las pruebas se han reali-zado en el Centro de Tecnologías Aeronáuticas (CTA) situado en el parque tec-nológico de Álava (Miñano). El sistema PAMELA v2 se ha utilizado para analizar estructuras de material metálico y de material compuesto CFRP (Carbon Fiber Reinforced Plastic). Todo lo relativo a la arquitectura electrónica básica se presenta en el capítulo III. En una tercera fase, se ha realizado un estudio sobre las técnicas de análisis avan-zadas (como beamforming, time reversal, etc.) basadas en el método de ondas guiadas ultrasónicas. Este estudio ha permitido definir los requerimientos de una arquitectura electrónica avanzada, que se han plasmado en un nuevo diseño. El diseño de esta arquitectura está planteado con la mayor flexibilidad posible, haciendo que sea capaz de poner en práctica tanto técnicas de análisis avanzadas utilizadas en la actualidad, como las que se encuentran aún hoy en día en investi-gación.

Page 26: Nuevas Aportaciones a las Tecnologías Electrónicas para la

I. Introducción

8 Luciano Casado Martínez

De este modo, se ha desarrollado un prototipo basado en la arquitectura electrónica avanzada, denominado PAMELA v3. Asimismo, se ha programado un firmware basado en Linux embebido que integra a nivel de kernel todos los drivers necesa-rios para el sistema. Se ha desarrollado también una interfaz HMI para realizar la configuración del equipo desde un computador externo. El prototipo PAMELA v3 ha sido también sometido a una fase de pruebas en el Centro de Tecnologías Ae-ronáuticas (CTA), donde se han realizado ensayos sobre materiales metálicos y compuestos, y se ha comprobado el adecuado desempeño de la arquitectura electrónica. Todo lo relativo a la arquitectura electrónica avanzada se muestra en el capítulo IV. Se debe remarcar que el planteamiento de las arquitecturas electrónicas de SHM ha comprendido el diseño electrónico mediante esquemáticos de todas las arquitectu-ras, las pruebas realizadas mediante los montajes basados en tarjetas de evaluación de ciertos componentes, el diseño de los circuitos impresos aplicando reglas de compatibilidad electromagnética, el diseño de la electrónica digital integrada en el dispositivo FPGA de la arquitectura avanzada, el diseño del firmware y software necesarios en las dos arquitecturas y las pruebas de laboratorio realizadas de cara a validar el correcto funcionamiento de las arquitecturas. Por último, se ha procedido a la difusión de los resultados de la investigación. Se han presentado dos patentes sobre ambas arquitecturas electrónicas. Además, se ha enviado un artículo sobre la arquitectura electrónica básica a una revista de presti-gio internacional y se está redactando otro artículo sobre la arquitectura electrónica avanzada, mostrando los resultados obtenidos. En el capítulo V se presentan las conclusiones y líneas futuras de la investigación.

Page 27: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 9

II. El SHM y el entorno aeronáutico

Este capítulo persigue, en primer lugar, exponer el fundamento de los sistemas de análisis de integridad estructural o SHM (Structural Health Monitoring), así como realizar una revisión sobre el estado del arte de las técnicas de testeo no destructivo disponibles en la actualidad. Por otro lado, se expone la importancia del análisis de integridad estructural en el campo aeronáutico, analizando las carencias encontradas en la aplicación de las técnicas de análisis no destructivo. Asimismo, se realiza un estudio sobre la madu-rez de las áreas tecnológicas involucradas y se plantea una vía de investigación alrededor de la automatización de los sistemas de SHM. Se concluye mostrando que la utilización de un sistema de SHM basado en la técni-ca de ondas guiadas ultrasónicas es un camino válido para abordar las carencias encontradas. Camino éste que se ha seguido durante la investigación y cuyos resul-tados se presentarán en los capítulos posteriores.

1. Fundamento de los sistemas de SHM

Los sistemas de monitorización de integridad estructural (SHM o Structural Health Monitoring) surgen ante la necesidad de controlar la integridad de las estructuras a lo largo de su vida útil y de mejorar los métodos de evaluación y testeo no destruc-tivos (NDE/T, non-destructive evaluation and testing). En los últimos años, se a-precia un continuo crecimiento en el rol de los métodos NDE/T para asegurar la calidad de los componentes antes de entrar en servicio y para monitorizar la de-gradación durante la vida útil y evitar así fallos prematuros de las estructuras [Raj et al. 1995]. El proceso SHM consiste, por una parte, en analizar una estructura concreta captu-rando de manera periódica su respuesta dinámica, y por otra, en realizar un análi-sis detallado de dicha característica para determinar la integridad de la estructura. La definición del término SHM incluye la integración de dispositivos sensores y posiblemente de dispositivos actuadores para grabar las cargas y condiciones de daño a las que se encuentra sometida una estructura, para posteriormente analizar los datos, localizar los problemas y predecir la respuesta de la estructura, de modo que el NDE/T se convierta en una parte integral de la misma estructura. De esta manera, el mantenimiento de las estructuras se limita a situaciones en las que la técnica SHM determina que hay una clara evidencia de que se encuentra próximo un deterioro estructural, lo que se conoce en inglés como on-condition maintenance (OCM). Como se desprende de la Figura 2, un sistema de SHM tiende a imitar el funciona-miento del sistema nervioso humano. En esta analogía, los nervios se sustituyen por sensores y el cerebro por una unidad de procesamiento de la información que suministran dichos sensores. De esta manera, ambos sistemas son capaces de detec-

Page 28: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

10 Luciano Casado Martínez

tar, cuantificar y localizar los daños ocasionados, siendo incluso capaces de discer-nir entre diferentes tipos de desperfectos.

Figura 2 – Analogía entre el sistema nervioso humano y un sistema de SHM instalado en una aero-nave. Los sensores distribuídos por la estructura de la aeronave cumplen la función de las termina-ciones nerviosas del cuerpo humano, mientras un computador realiza la función del cerebro. Entre las diferentes imperfecciones que pueden darse en una estructura aeronáuti-ca, destacan las siguientes [Bartels et al. 2004]:

• Fracturas por fatiga, particularmente en uniones. • Corrosión, en el interior de uniones y en compartimentos cerrados. • Delaminación, por corrosión en uniones y en estructuras de panal de abeja

(despegado de la cubierta). • Daños por impacto en materiales compuestos. • Daños ocasionados durante la fabricación en materiales compuestos. • Despegado de rigidizadores en paneles de material compuesto. • Etc.

En la Figura 3 se pueden apreciar algunos de los tipos de daños comentados, para estructuras de material aeronáutico.

Figura 3 – Ejemplo de daños en estructuras aeronáuticas: despegado, fractura y corrosión [Roach et al. 2006].

Cubierta de material compues-to despegada de la estructura

de panal de abeja

Fractura de un larguero (sub-estructura)

Corrosión alrededor de una unión remachada

Red de sensores

Evaluación

Page 29: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 11

Por otra parte, en la Figura 4 se representa el proceso que sigue un sistema SHM para llegar a la detección y caracterización de un defecto. Una serie de actuado-res/sensores adheridos a la estructura se utilizan para realizar los ensayos no des-tructivos. Uno de los actuadores (en la figura, el elemento número 1) se excita, por ejemplo, con una forma de onda determinada, transmitiendo a la estructura una señal que viaja por el material y es recibida por los sensores (elementos 2, 3 y 4). Las señales adquiridas se digitalizan y se dibujan con un programa específico de representación (elemento b en Figura 4), para después generar una imagen bidi-mensional que representa la estructura analizada y los defectos encontrados en ella (elemento c en Figura 4). Asimismo, se puede llegar a crear una imagen tridimen-sional (elemento d en Figura 4) que represente las características de la estructura y la posición de los posibles defectos.

Figura 4 – Modo de funcionamiento de un sistema de SHM. a) En primer lugar se transmite una señal a la estructura utilizando el transductor número 1 (que cumple la función de actuador), la señal se propaga por la estructura y después de sufrir múltiples rebotes en la misma, se adquiere mediante los transductores 2, 3 y 4, que actúan como sensores. b) Representación de las señales adquiridas por los sensores. c) Representación de la imagen ultrasónica bidimensional, indicando la posición del defecto. d) Representación tridimensional de la estructura, mostrando la posición y gravedad del defecto [Michaels et al. 2006]. Los sistemas de SHM pueden estar basados en alguna de las muchas técnicas de NDE/T existentes en la actualidad. Por ello, a continuación se presentan las técni-cas de NDE/T más utilizadas en la actualidad en el campo aeroespacial, haciendo

a) b)

d) c)

Page 30: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

12 Luciano Casado Martínez

hincapié en las características diferenciadoras de cada una de ellas, así como en su rango de aplicación.

2. Las técnicas de testeo no destructivo en aeronáutica

En el campo aeronáutico, actualmente se utilizan varios tipos de técnicas de NDE/T para asegurar la completa integridad de las estructuras aeronáuticas. En el presente apartado se van a mostrar algunas de las técnicas más utilizadas. En la actualidad, las estructuras aeronáuticas se realizan tanto con materiales metá-licos como con materiales compuestos, también denominados composites o resinas compuestas, que son materiales sintéticos compuestos por moléculas de elementos variados [Baker et al. 2004]. De entre todos los métodos de inspección no destructi-va utilizados en la actualidad en aeronáutica, algunos de ellos sólo son aplicables a un tipo de material, mientras que otros se pueden aplicar tanto a metales como a materiales compuestos. No obstante, aunque el objetivo de todos los métodos sea la detección de defectos sin alterar la propia estructura sometida a monitorización, todos los métodos están basados en principios científicos distintos. De entre todas las técnicas de testeo no destructivo disponibles en el campo aeroes-pacial [Speckmann et al. 2004, Balageas et al. 2006], destacan las siguientes:

• Inspección Visual. La inspección visual es la forma natural de evaluar la inte-gridad de los componentes estructurales. Este método es efectivo a la hora de detectar daños superficiales o casi-superficiales. El método de inspección visual es el más común de los métodos utilizados para el análisis de aerona-ves que se encuentran en servicio. No obstante, en la práctica se utilizan di-versas variantes de este método. Éstas incluyen varios niveles de sofistica-ción, desde un simple examen visual hasta la utilización de microscopios manuales. Un operario, con la ayuda de su vista, no puede dar demasiados detalles sobre la formación o la severidad de un daño. Asimismo, la inspec-ción visual realizada sin elementos auxiliares (lupas, microscopios, etc.) pre-senta grandes limitaciones a la hora de analizar estructuras de material compuesto, en las que los daños ocurren normalmente bajo la superficie. Aunque la microscopía puede proporcionar información detallada sobre mi-cro-fracturas e inicios de fracturas en elementos metálicos o áreas con dela-minación en estructuras de material compuesto, sólo puede ser utilizada en condiciones de laboratorio, debido a que el componente a analizar debe ser desmontado de la aeronave.

• Eddy Current Foil Sensors (ECFS). Este método se utiliza principalmente en operaciones de mantenimiento. Se basa en la generación de un campo de co-rrientes de Eddy o Foucault sobre la estructura, de cara a detectar la apari-ción de defectos superficiales de hasta 6 mm de profundidad (Figura 5). Las fisuras o zonas con corrosión hacen variar el campo de corrientes de Fou-cault generado por la sonda correspondiente [Kriezis et al. 1992]. Este méto-do sólo es aplicable en estructuras metálicas y se utiliza en componentes li-mitados de la aeronave, como ruedas, frenos y otros, sin necesidad de des-

Page 31: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 13

montar dichos elementos. Se utilizan técnicas tanto de alta como de baja fre-cuencia, e inspección dinámica. Esta técnica requiere desplazar la bobina por toda la estructura, por lo que no es apta para diseñar un sistema embarcable.

Figura 5 – Funcionamiento de la técnica de ECFS. a) La corriente alterna (de una cierta frecuencia) que fluye a través de la bobina de una sonda, genera un campo magnético alrededor de la bobina. b) Cuando se sitúa la bobina cerca de un material conductor de la electricidad, se inducen unas corrien-tes de Foucault en el material. c) En caso de existir un defecto en el material conductor, la circulación de las corrientes de Foucault se distorsiona y el acoplamiento magnético con la sonda se ve alterado, permitiendo detectar la presencia de un defecto en base a la variación de la impedancia de la bobina [Olympus NDT 2011].

• Comparative Vacuum Monitoring (CVMTM). La técnica de CVMTM fue patenta-da por Ken Davey en 1995. Esta técnica se basa en la utilización de una son-da especial formada por cavidades que se encuentran alternadamente en el vacío y a presión atmosférica [Wishaw et al. 2001]. La sonda se encarga de medir el incremento de la diferencia de presión entre las cavidades, de mo-do que si en la estructura no existe ningún defecto, las cavidades en vacío se mantienen a la misma presión. Sin embargo, si se desarrolla un defecto en el material, el aire fluirá desde las cavidades a presión atmosférica hacia las cavidades en vacío (Figura 6). La sonda mide el flujo de aire entre cavidades contiguas, por lo que las diferencias de presión medidas son indicativo de defectos estructurales en la superficie, como fisuras, corrosión o desprendi-mientos en uniones con adhesivos. La técnica de CVMTM se puede utilizar tanto en metales como en materiales compuestos. La técnica requiere de con-tacto directo con la superficie de la estructura y un desplazamiento de la sonda o de la estructura, por lo que el uso de esta técnica está limitado a la-boratorio o taller.

Page 32: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

14 Luciano Casado Martínez

Figura 6 – Sonda para el análisis mediante CVMTM. Cuando un defecto se encuentra entre cavidades adyacentes que se encuentran en el vacío (V) o bajo presión atmosférica (A), se produce un incremen-to en la diferencia de presión entre las cavidades [Wishaw et al. 2001].

• Microwave Antennas (MWA). Las antenas de microondas emiten y captan mi-croondas que delatan la presencia de inclusiones de agua en el material compuesto y en estructuras de tipo sándwich [Zoughi 2000]. Se utilizan, por supuesto, en composites y en sándwiches, pero no se pueden utilizar en ma-teriales metálicos, dado que las microondas son bloqueadas por dichos ma-teriales.

• Partículas magnéticas. Este método se basa en la creación de campos magnéti-cos mediante la utilización de partículas ferromagnéticas que se sitúan sobre piezas imantadas. La técnica de partículas magnéticas se utiliza en piezas magnéticas para detectar grietas superficiales y subsuperficiales, de hasta 6 mm de profundidad, por lo que su aplicación se limita a dichos materiales.

• Fiber Bragg Gratings (FBG). Estos sensores de fibra óptica contienen un seg-mento de fibra óptica (grating) que refleja unas determinadas longitudes de onda lumínicas y deja pasar otras. Al adherir las fibras de Bragg en el mate-rial a monitorizar, las fibras sufren las mismas deformaciones que el mate-rial. Al estar las fibras fijadas a una estructura, permiten medir las tensiones de origen térmico o mecánico a las que está siendo sometida la estructura, los cambios de temperatura, desperfectos causados por impactos, así como delaminaciones, tanto en metales como en materiales compuestos. En la Figura 7 se muestra la estructura de una fibra de Bragg, mostrando el perfil del índice de refracción y la respuesta espectral.

Page 33: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 15

Figura 7 – Estructura de una fibra de Bragg, mostrando además el perfil del índice de refracción que cambia a lo largo del núcleo de la fibra. La respuesta espectral de la fibra muestra cómo una señal de entrada de banda ancha se divide en las componentes transmitida y reflejada.

• Emisión acústica (del inglés Acoustic Emission o AE). La técnica de AE es una de las primeras y más extendidas técnicas de NDE/T para la detección de defectos estructurales. Existe numerosa documentación disponible sobre es-ta técnica [Murvain 1999, Holroyd 2000]. Se trata de una técnica totalmente pasiva, que se basa en la lectura de las ondas de estrés provenientes de los defectos que surgen en el interior del material, cuando éste es sometido a cargas externas (Figura 8). Las ondas de estrés tienen una corta duración y presentan una energía significativa entre 100 kHz y 1000 kHz. Una de las ventajas de esta técnica es que el número de transductores a utilizar para realizar la deteción es relativamente bajo. La técnica de emisión acústica se puede utilizar para analizar tanto metales como materiales compuestos.

Figura 8 – Aplicación de la técnica de Emisión Acústica o AE (Acoustic Emission) para la detección de las ondas de estrés generadas en el interior de un material.

Instrumentación Detección de defectos

Onda de Estrés

Espécimen monitorizado

Fuente de AE (por ejemplo, un defecto)

Carga externa Carga externa

Page 34: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

16 Luciano Casado Martínez

• Método acústico-ultrasónico (del inglés acousto-ultrasonics o AU). Mediante esta técnica, se hace vibrar la estructura por medio de ondas sóni-cas/ultrasónicas, para captar en otra zona de la estructura las ondas propa-gadas por el material. Las posibles perturbaciones en la imagen de las ondas ultrasónicas son prueba de fisuras o delaminaciones. En física, el término ul-trasónico se refiere a toda energía acústica (ondas mecánicas longitudinales) de una frecuencia superior al rango audible humano, que va de 20 Hz a 20 kHz. Por tanto, las ondas ultrasónicas son ondas de frecuencia mayor que 20 kHz. La técnica de AU se puede utilizar tanto en materiales metálicos como en materiales compuestos.

• Ondas guiadas ultrasónicas (del inglés guided wave ultrasonics). Esta técnica está basada en el método de AU, pero llevándolo a un nivel superior. Los siste-mas de ondas guiadas ultrasónicas generan imágenes de ultrasonidos en dos dimensiones, utilizando una red de pequeños transductores [Staszewski et al. 2004]. Generalmente, están basados en transductores piezoeléctricos, construidos con materiales cerámicos que cuentan con propiedades piezo-eléctricas, como el titanato zirconato de plomo (comúnmente conocido como PZT). Estos transductores se fijan a las estructuras de bajo espesor mediante un adhesivo de tipo epoxi. Los transductores se excitan con una forma de onda determinada (normalmente una señal pulsada de baja duración) y transmiten a la estructura una serie de ondas ultrasónicas, que en estructu-ras de pared delgada se propagan de manera guiada, convirtiéndolas en on-das guiadas ultrasónicas (también conocidas como ondas de Lamb). Estas ondas son capaces de propagarse a lo largo de estructuras de grandes di-mensiones y se utilizan para realizar la detección de daños estructurales en estructuras de espesor reducido. Una serie de transductores situados en otros puntos de la estructura reciben las señales ultrasónicas que se han propagado por el material, y que se utilizan para localizar la posición de los posibles defectos.

La técnica de ondas guiadas ultrasónicas permite la detección de grietas si-tuadas en lugares de difícil acceso, como por ejemplo sujecciones del ala, sin necesidad de desmontar la estructura. Se puede aplicar tanto a metales como a composites, aunque en estos últimos las señales ultrasónicas sufren una gran atenuación, por lo que el rango de detección es más limitado que en los materiales metálicos.

En algunas investigaciones se han llegado a utilizar fibras ópticas como sen-sores de ondas de Lamb de manera satisfactoria [Ercsey-Ravasz et al. 2007], generando la señal de excitación mediante transductores piezoeléctricos.

• Impedancia electromecánica (del inglés electromechanical impedance o E/M). Du-rante los últimos años se ha realizado una gran investigación sobre el méto-dos basado en el análisis de la impedancia electromecánica de los materiales [Giurgiutiu et al. 1998, Baptista et al. 2009]. Este método puede hacer uso de los mismos transductores piezoleléctricos utilizados por el método de ondas guiadas ultrasónicas, excitando la estructura con una señal ultrasónica de cara a medir la impedancia electromecánica del material. Los cambios en la

Page 35: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 17

impedancia electromecánica indican cambios en la estructura, por lo que se comparan los valores de impedancia electromecánica obtenidos con los va-lores de una estructura sana.

Aunque este método permite construir equipos de pequeñas dimensiones para realizar ensayos no destructivos, actualmente no permite detectar la posición exacta de los defectos, proporcionando únicamente una visión so-bre los cambios de la estructura durante su vida útil.

• Líquidos penetrantes. Este método se basa en la capacidad que tienen unos lí-quidos especiales, denominados líquidos penetrantes fluorescentes, para penetrar en las grietas de una pieza y mostrar al operario la posición de los defectos. La técnica de líquidos penetrantes se utiliza para detectar grietas y defectos abiertos en la superficie, por ejemplo en ruedas y frenos. Es aplica-ble tanto en materiales metálicos como en materiales compuestos. Como se puede deducir, las posibilidades de embarcado de esta técnica son reduci-das.

• Inspección radiográfica. La inspección radiográfica se basa en la utilización de rayos-X, radiaciones capaces de penetrar en la materia. Este método utiliza la radiación ionizante de alta energía que, al pasar a través de un material sólido, puede observarse cómo esta energía es atenuada debido a diferencias de espesores, densidad o presencia de discontinuidades.

La técnica de inspección radiográfica se utiliza en todo tipo de estructuras aeronáuticas para detectar daños ocultos o corrosiones de grietas, princi-palmente donde no es posible desmontar la estructura, como por ejemplo en las alas de una aeronave o en ciertas partes del fuselaje. El embarcado de es-ta técnica es también muy complicado.

Las técnicas de testeo no destructivo presentadas en este capítulo se emplean ac-tualmente, entre otras, para asegurar la completa integridad estructural de una ae-ronave [Shang et al. 2008]. Estas técnicas se utilizan para analizar las estructuras aeronáuticas durante el proceso de fabricación y durante los periodos de manteni-miento, en los que las aeronaves se llegan a desmontar pieza a pieza. Sin embargo, según su definición, un sistema de SHM debe ser capaz de realizar la detección de los defectos y su posterior caracterización. Para un análisis SHM a largo plazo, este proceso se repite periódicamente actualizando la información rela-tiva a la capacidad de la estructura para ejecutar la función para la que ha sido di-señada, teniendo en cuenta su inevitable envejecimiento y degradación resultado del entorno de operación en el que se encuentra. Es por ello que algunas de las técnicas expuestas, por su propio fundamento, pre-sentan impedimentos de cara a realizar la detección y caracterización autónoma (sin gestión externa) de los daños. Asimismo, técnicas como ECFS o CVMTM, en la mayoría de los casos no pueden ser automatizadas debido a que, para realizar el

Page 36: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

18 Luciano Casado Martínez

susodicho examen estructural, previamente se debe desmontar la estructura de la aeronave en la que se encuentra alojada. Por consiguiente, si se desea diseñar un sistema de SHM autónomo, se debe buscar un método de entre los expuestos que no suponga ningún impedimento a su im-plantación. Una de las técnicas que se presenta como una solución viable de cara a la automatización y el funcionamiento autónomo de un sistema de SHM es la técni-ca basada en la toma de imágenes ultrasónicas utilizando las propiedades de las ondas guiadas ultrasónicas, también conocidas como ondas de Lamb. Las ondas de Lamb son particularmente atractivas para detectar deterioros en las estructuras aeronáuticas, como demuestra la ingente cantidad de literatura que se ha publicado durante los últimos quince años [Giurgiutiu et al. 2002, Kearns et al. 2007]. Este mé-todo tiene una gran proyección debido a que permite realizar múltiples ensayos que abren la puerta al equipamiento embebido basado en esta técnica [Giurgiutiu 2005].

2.1 Introducción a los sistemas basados en ondas de Lamb

Actualmente, los sistemas SHM basados en ondas de Lamb son sistemas exclusi-vamente destinados a su uso en laboratorio, permitiendo analizar los fenómenos del método y su aplicación a diferentes especímenes. Es por ello que estos sistemas necesitan costosos y voluminosos equipos para funcionar, tales como osciloscopios o generadores de señal [Giurgiutiu et al. 2002a, Giurgiutiu 2003a], algo por otra parte lógico dado su propósito de laboratorio. No obstante, en la actualidad existen equipos ultrasónicos comerciales dedicados para SHM [Dr.Hillger 2011, Cedrat Group 2011] que integran todas las funciones de los sistemas de laboratorio, pero que debido a su elevado peso, volumen y energía consumida tampoco hacen facti-ble su embarcado en una aeronave. El fabricante Accellent [Acellent Technologies 2011] dispone de equipos dedicados a SHM que sí pueden ser utilizados de manera portátil, pero que sólo cumplen una función determinada, como detección de im-pactos, detección de fisuras y roturas o análisis de materiales compuestos. El Dr. Victor Giurgiutiu, del Departamento de Ingeniería Mecánica de la Universi-dad del Sur de Carolina (Columbia), ha realizado varias patentes sobre sistemas de SHM basados en la técnica de ondas guiadas ultrasónicas. La primera de estas pa-tentes [Giurgiutiu 2006], presenta algunas técnicas y procedimientos de análisis mediante ondas ultrasónicas y plantea de manera teórica la creación de un sistema de gran potencia y versatilidad, que permita desarrollar técnicas de análisis avan-zado, como por ejemplo beamforming sintético en transmisión y recepción, time reversal, etc. Sin embargo, dicha patente no expone la arquitectura electrónica que sería necesaria para hacer posible este sistema, lo cual hace que se encuentre con una serie de problemas o limitaciones que hacen inviable su utilización embarcada:

• no incluye una descripción de la arquitectura electrónica y carece de especi-ficaciones respecto de las características de un equipo electrónico integrado que permita utilizar las técnicas más avanzadas con beamforning real no sintético,

Page 37: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 19

• la generación de señales está limitada a la excitación de un sólo transductor piezoeléctrico, no pudiendo utilizar múltiples canales de excitación,

• la adquisición de señal también se limita a un sólo canal, y

• la limitación del número de canales de excitación y adquisición a uno en ca-da caso tiene como consecuencia que las técnicas de monitorización estruc-tural utilizables son muy limitadas.

En una segunda patente [Giurgiutiu et al. 2009], el Dr. Giurgiutiu plantea una ex-tensión de la primera patente, donde se describe un sistema omnidireccional (en 360º) para la detección de defectos estructurales, explicando el funcionamiento y fundamento del sistema, pero de nuevo, sin plantear la arquitectura electrónica que sería necesaria para llevar a cabo dicho sistema de monitorización. Al igual que la primera patente, esta patente se basa en un sistema secuencial que sólo puede ac-tuar sobre un canal, o al menos con una sola señal de excitación, y con una sola se-ñal de recepción, gestionadas a través de un procesador de señal, evidentemente secuencial. En una tercera patente [Giurgiutiu 2007a] y en un artículo [Giurgiutiu et al. 2004], el mismo Dr. Giurgiutiu describe un sistema portátil, de pequeñas dimensiones, bajo coste y peso, basado en la técnica de medida de la impedancia electromecánica (E/M). La técnica de impedancia E/M se utiliza principalmente para detectar de-fectos en campo muy cercano. Sin embargo, esta técnica no es aplicable a campo lejano porque no proporciona la suficiente resolución de cara a la detección y loca-lización de defectos en campo lejano. La técnica de ondas guiadas ultrasónicas que se ha propuesto como vía de investigación en este documento de tesis se puede utilizar tanto en campo cercano como en campo lejano, por lo que el ámbito de aplicación y las técnicas de análisis utilizables por el equipo planteado en la tercera patente y por las arquitecturas electrónicas que se plantearán en los capítulos III y IV tienen enfoques totalmente diferentes. Además, esta técnica utiliza un único sensor y, por tanto, sería imposible utilizar las técnicas avanzadas de múltiples ca-nales que se verán en el capítulo IV. Se ha encontrado otra serie de patentes relacionadas con la técnica de E/M, pero debido a las limitaciones ya comentadas, no se van a mostrar en este documento para no hacer de nuevo incapié sobre las mismas limitaciones. Otros autores han planteado propuestas de cara a un equipamiento embebido ba-sado en ondas guiadas ultrasónicas [Kim et al. 2009], utilizando diferentes placas de evaluación de componentes electrónicos. Aunque una solución como la plan-teada puede inicialmente parecer lo suficientemente viable, la no utilización de arrays de transductores impide poner en práctica técnicas como round-robin o be-amforming sintético, indispensables para llevar a cabo un sistema básico de SHM basado en ondas guiadas ultrasónicas. No hablemos ya del planteamiento de un sistema avanzado de SHM que permita utilizar técnicas avanzadas como beam-forming (real, no sintético), el algoritmo de autoenfoque, time reversal, etc.

Page 38: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

20 Luciano Casado Martínez

En definitiva, queda patente que los sistemas SHM basados en ondas guiadas ul-trasónicas disponibles en la actualidad presentan una importante carencia: no están basados en arquitecturas electrónicas específicas y eficaces que permitan desarro-llar dispositivos embarcables de pequeño volumen, por lo que no se pueden utili-zar en una aeronave para realizar análisis de integridad estructural en modo autó-nomo y en tiempo real. En el apartado 4 se planteará una vía de investigación alrededor de la técnica de ondas guiadas ultrasónicas, que permite solventar todas las carencias encontradas.

3. Importancia y carencias del análisis de integridad estructu-ral

La comprobación de la integridad estructural de las aeronaves es uno de los aspec-tos más críticos, debido a que los fallos estructurales de un aparato pueden condu-cir a una catástrofe [Boller et al. 2004]. En efecto, el análisis de integridad estructu-ral tiene una gran importancia, tanto durante la fabricación de los elementos de una aeronave (ensayos, certificaciones, etc.), como durante la vida útil del aparato (en las tareas de mantenimiento). Durante la fabricación, los elementos aeronáuti-cos tienen que pasar una serie de ensayos y certificaciones impuestos por los pro-pios fabricantes y por los organismos competentes. Asimismo, todas las grandes aeronaves o aeronaves empujadas por turbinas siguen un programa continuo de inspección aprobado por la Federal Aviation Administration [FAA 2011] en los Estados Unidos, o por otras autoridades competentes como la Transport Canada o la European Aviation Safety Agency [EASA 2011]. En España, la autoridad compe-tente es la Agencia Estatal de Seguridad Aérea [AESA 2011]. Bajo supervisión de la autoridad competente, cada operador aeronáutico prepara un CAMP o Continuous Airworthiness Maintenance Program que se encuentra sujeto a las especificaciones de operación proporcionadas por la autoridad competente. En España, las aeronaves deben pasar por varios tipos de mantenimiento, tanto programado como no programado (Figura 9). Para garantizar la aeronavegabilidad continuada de los aviones, las compañías aéreas llevan a cabo sobre los mismos un programa de mantenimiento, dirigido a conservar las condiciones iniciales de ae-ronavegabilidad y fiabilidad que impone el fabricante y que validan las autorida-des aeronáuticas competentes.

• El fabricante, de acuerdo con la autoridad, establece un programa de man-tenimiento mínimo, único para cada tipo de avión, que posteriormente se singulariza para cada compañía aérea.

• El programa de mantenimiento se elabora junto con el fabricante, enfocán-dolo a mantener en todo momento al avión en las condiciones establecidas por el certificado tipo.

• El fabricante participa en todo momento en cualquier modificación que la compañía aérea pueda realizar sobre el programa de mantenimiento.

Page 39: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 21

• El programa de mantenimiento tiene que ser aprobado por la AESA. El mantenimiento programado de un avión se divide en tres categorías distintas que cubren inspecciones determinadas, cuyos intervalos y tareas van siendo pro-gresivamente más extensas:

• Mantenimiento en línea. Incluye inspecciones prevuelo, diaria y semanal. La inspección prevuelo se realiza en la escala entre cada aterrizaje y el siguiente despegue del avión. Es llevada a cabo por el piloto o un técnico de mante-nimiento, el cual revisa el estado general de motores, de otros mandos e ins-trumentos de vuelo y vigila que no haya algún registro abierto. La inspec-ción diaria se realiza como máximo cada 47 horas y 59 minutos, y en ella se inspeccionan de forma detallada el exterior del avión, incluyendo el estado de ruedas y frenos, lubricación de los amortiguadores de trenes de aterriza-je, comprobación de niveles de aceite, hidráulico, presión de oxígeno de sis-tema auxiliar de tripulación técnica y revisión del equipo de emergencia a bordo. La inspección semanal se realiza cada cien horas de vuelo o 7 días de calendario, y en ella se inspeccionan aspectos más detallados relacionados con la seguridad alrededor del avión.

• Mantenimiento menor, integrado por tres tipos de inspección: A, B y C. La inspección tipo A, realizada mensualmente, incluye una inspección general de sistemas, componentes y estructura, tanto desde el interior como desde el exterior, para verificar su integridad. La inspección B, realizada semestral-mente, comprueba la seguridad de sistemas, componentes y estructura, pero con mayor alcance y profundidad que la anterior. La inspección C, realizada anualmente, lleva a cabo una inspección completa y extensa, por áreas, de todas las zonas interiores y exteriores del avión, incluyendo los sistemas, las instalaciones y la estructura visible.

• Mantenimiento mayor. Por último, las aeronaves se someten al llamado man-tenimiento mayor, con el que se cubre completamente el denominado Pro-grama de Inspección Estructural. Este programa, define inspecciones inte-riores y exteriores de todos los elementos estructurales. La gran parada (co-mo se denomina al mantenimiento mayor), corresponde con la revisión más completa que se puede realizar a un avión, y se realiza cuando éste ha cum-plido entre 4.000 y 5.000 horas de vuelo. El objetivo es revisar meticulosa-mente todos y cada uno de los elementos o herramientas que conforman la estructura de un avión y cumplir con las exigencias requeridas para la con-firmación del buen estado de todos los elementos de la aeronave. En la gran parada se engloban trabajos como el decapado completo de la pintura exte-rior del aparato, el desmontaje de todas las butacas, cristales de ventanillas, rótulos, etc., la inspección de todos los elementos estructurales del avión (es-tado de paneles, remaches, etc.), desmontaje e inspección completa del inter-ior de los motores, revisión del cableado de la aeronave, etc.

Page 40: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

22 Luciano Casado Martínez

Figura 9 – Mantenimiento en hangar de uno de los motores de un aparato de la compañía Iberia. Durante los periodos de mantenimiento, los aparatos no pueden ser explotados por las aerolíneas [AESA 2011]. Al margen de las revisiones programadas, el resto de componentes (rampas, buta-cas, bombas hidráulicas, flaps, tren de aterrizaje, etc.) y motores tienen su propio mantenimiento no programado en taller:

• El tren de aterrizaje se desmonta pieza a pieza cada diez años o 20.000 ciclos, (el intervalo depende de cada tipo de aeronave), pero además, se somete pe-riódicamente a exhaustivos controles de fiabilidad, pues es uno de los com-ponentes con mayor desgaste. Después de cada aterrizaje, se vigila el estado de las ruedas, y la temperatura de los frenos, que son de discos de carbono, precisamente para soportar mejor un calentamiento extremo y mayor efica-cia de frenado. Las llantas, además de la revisión básica, se someten cada 400 ciclos a un proceso que permite, sin dañarlas, ver si tienen grietas inter-nas.

• A los motores se les revisan diariamente los niveles. Además, se les hace una inspección detallada de la zona de entrada y escape vigilando que no tengan pérdidas.

• Con intervalos variables, dependiendo de la flota, se somete al motor en ala a inspecciones boroscópicas, que permiten ver el interior del mismo en deta-lle en una pantalla, para inspeccionar cualquier daño, y en algunas flotas, se procede a un lavado del motor en ala para aumentar su eficiencia.

• No obstante, la mayoría de los componentes de un motor, como los álabes de turbina, son de muy alta fiabilidad y sólo requieren desmontaje cada tres o más años. Ese es, más o menos, el intervalo para el desmontaje pieza a pieza de un motor y su posterior revisión.

Sin embargo, las aeronaves actuales sufren un problema derivado del envejeci-miento del parque aeronáutico, con aparatos que llevan en servicio más de 25 años. No obstante, el factor más importante a tener en cuenta no tiene porqué ser la anti-

Page 41: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 23

güedad de las aeronaves, sino el número de horas de servicio que acumula cada una de ellas. Si bien las aeronaves se someten a rigurosas comprobaciones, como se ha comentado con anterioridad, en los periodos entre comprobaciones las aerona-ves se someten únicamente a inspecciones visuales realizadas por personal cualifi-cado. Este personal, linterna y espejos/lupas en mano, examina el exterior de las aeronaves cuando éstas se encuentran atracadas en los puestos de estacionamiento del aeropuerto. En algunas ocasiones, son los propios pilotos de la aeronave los que se encargan de realizar dicha inspección, antes de comenzar la preparación previa al despegue. Sin embargo, aunque existen unos procedimientos a aplicar durante la inspección visual, no deja de ser un proceso manual, dependiente del estado y ex-periencia del personal, y por tanto no exento de errores. Además, como se ha co-mentado en apartado 2, la inspección visual no es capaz de detectar delaminacio-nes o fracturas que se producen en el interior del material, así como grietas de ta-maño minúsculo que pueden pasar desapercibidas durante la inspección. Esta carencia, que se hace más acusada cuando las aeronaves llevan una cantidad elevada de años en servicio, da lugar a problemas estructurales como el ocurrido el 2 de abril de 2011 con las aeronaves Boeing 737-300 de la compañía Southwest Air-lines en los Estados Unidos (Figura 10).

Figura 10 – Estados Unidos ha ordenado revisar los Boeing 737-300 más antiguos después de que el fuselaje de uno de ellos se rajara en pleno vuelo (compañía Southwest Airlines). La aeronave dañada entró en servicio hace más de 15 años. El aparato aterrizó de emergencia, pero sin más problemas, en la ciudad de Yuma. En concreto, una de las aeronaves Boeing 737-300 de la compañía Southwest Airli-nes, sufrió daño en el fuselaje al rajarse la cubierta en pleno vuelo. Tras este inci-dente, la FAA de los Estados Unidos ha ordenado el 4 de abril de 2011 revisar los Boeing 737-300 más antiguos, en consonancia con la recomendación hecha por Bo-eing el día anterior. Gracias a estas inspecciones, se han detectado grietas en tres de las 80 aeronaves en servicio.

Page 42: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

24 Luciano Casado Martínez

Por otra parte, no se debe olvidar que durante los periodos de mantenimiento de una aeronave, se produce una paralización completa de los aparatos, impidiendo su utilización durante un tiempo prolongado, lo que repercute directamente en un incremento de costes para las aerolíneas. Efectivamente, para una aerolínea los cos-tes de mantenimiento de los aparatos son una gran parte de su presupuesto [Boller et al. 2004]. La implantación de sistemas de SHM automáticos y autónomos permi-tiría, a priori, reducir el número de mantenimientos realizados a las aeronaves, re-percutiendo directamente en una reducción de los costes de mantenimiento. Otro de los problemas derivados de la falta de análisis de integridad estructural concienzudos durante los ciclos de operación de las aeronaves, es la posibilidad de que se llegue a producir un accidente. Los fallos estructurales de una aeronave hacen que ésta pierda las características que la mantienen en vuelo, peligrando la vida de todos los pasajeros e incluso de personas en tierra. Aunque los accidentes debidos a fallos estructurales representan un tanto por ciento muy bajo del total de incidentes que se registran durante el año, conviene tener en cuenta la seguridad añadida del análisis de integridad estructural automático, autónomo y en tiempo real que proporcionan los sistemas de SHM. Como curiosidad, la página web The Aviation Herald recoge todos los incidentes que ocurren a diario y que involucran a aeronaves a lo largo del globo, clasificándolos en diferentes clases dependiendo de su gravedad [The Aviation Herald 2011b]. A continuación, se van a mostrar cuatro ejemplos recientes de incidentes. El prime-ro de ellos, de menor gravedad que el resto, es uno de los incidentes más importan-tes del siglo pasado. El 29 de abril de 1988, un Boeing 737-200 de la compañía Aloha Airlines perdió una parte importante del fuselaje delantero debido a un co-lapso por fatiga de materiales en su estructura, mientras volaba a 7.300 metros de altura. El avión realizó un aterrizaje de emergencia en Kahului (Figura 11).

Figura 11 – Avería y aterrizaje de emergencia de un Boeing 737-200 el 28 de abril de 1988, perdiendo parte del fuselaje delantero debido a un fallo estructural en la zona delantera de la aeronave. Afortunadamente, en este accidente sólo hubo que lamentar una víctima mortal, una mujer que murió al salir despedida debido a la súbita descompresión. La es-

Page 43: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 25

tructura delantera superior de la aeronave quedó muy dañada, pero el avión consi-guió completar un aterrizaje de emergencia en una pista de aterrizaje cercana. Sin embargo, existen casos aún más recientes que se han saldado con un número mayor de víctimas.El primero de los tres accidentes que se van a comentar, ocurrió el 25 de julio de 2000, cuando la aeronave Aérospatiale-BAC Concorde, conocida comúnmente como “El Concorde”, despegó del Aeropuerto de París-Charles de Gaulle cerca de París, Francia, con rumbo al Aeropuerto Internacional John F. Ken-nedy de Nueva York, Estados Unidos (vuelo 4590). Ese día, el Concorde francés sufrió un accidente al despegar y se estrelló en Gonesse, Francia (Figura 12).

Figura 12 – Accidente de un Concorde el 25 de julio de 2000, incendiándose uno de los depósitos de combustible y precipitándose contra el suelo mientras ejecutaba la maniobra de despegue. El accidente fue causado indirectamente por un aparato McDonnell Douglas DC-10 de Continental Airlines que perdió una banda de titanio de unos 3 cm de ancho y 43 cm de largo (fallo estructural) durante el despegue desde el mismo aeropuerto Charles de Gaulle, cinco minutos antes del despegue del vuelo 4590. Cinco minutos después, durante la posterior carrera de despegue del Concorde y tras pasar a V1

(velocidad característica 1, que es la velocidad máxima a la cual el piloto todavía puede detener la aeronave y abortar el despegue sin dejar la pista) la pieza metáli-ca que todavía se encontraba en la pista, rompió la llanta de la rueda número dos del Concorde, lo que hizo que la rueda explotara. Una parte de la llanta (de unos 4,5 kg) golpeó la parte baja del ala izquierda del avión a más de 300 km/h y produ-jo un incendio que acabó por desintegrar el ala izquierda de la aeronave, haciendo que el aparato girara sobre sí mismo y se precipitara contra el suelo. El aparato im-pactó contra el hotel Hôtelissimo Les Relais Bleus situado cerca del aeropuerto. Como consecuencia del accidente fallecieron los cien pasajeros del avión, nueve miembros de la tripulación y cuatro personas que se encontraban en el interior del hotel. No obstante, fue el primer y único accidente de un Concorde, terminando con más de treinta años de impecable historial de la famosa aeronave supersónica. El segundo accidente, siendo el segundo más importante de la historia de los Esta-

Page 44: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

26 Luciano Casado Martínez

dos Unidos tras el vuelo 191 de American Airlines, tuvo lugar el 12 de noviembre de 2001. El accidente sucedió durante la maniobra de despegue del vuelo 586 de American Airlines (Airbus A300), cuando el estabilizador de cola se partió y salió despedido, falleciendo 260 personas que viajaban en el avión y 5 en tierra (Figura 13). Según las investigaciones, se encontraron daños no detectados en el estabiliza-dor de cola de otra aeronave Airbus A300 de American Airlines tras aterrizar en Palm Beach, en mayo de 1997, por lo que se considera que la unión entre el estabili-zador y el fuselaje de la aeronave accidentada podría estar también debilitada antes del despegue.

Figura 13 – Accidente de un Airbus A300 de la compañía American Airlines (vuelo 586). La aeronave perdió el estabilizador de cola durante la maniobra de despegue. Como consecuencia, falleciron 260 personas a bordo y 5 en tierra. El tercer y más reciente accidente sucedió el 1 de junio de 2009 (Figura 14).

Figura 14 – Accidente de un Airbus A330 de la compañía Air France, el 1 de junio de 2009. La aero-nave se estrelló en el océano atlántico cuando cubría la ruta Río de Janeiro-París (vuelo AF447). Una de las causas del accidente que se barajan es un fallo estructural íntegro de la aeronave debido a una reducida velocidad de crucero, menor a la recomendada, que provocó un excesivo estrés a la estruc-tura y que no fue alertada por las sondas de velocidad Pitot. Murieron todos los ocupantes, 228 per-sonas [The Aviation Herald 2011d].

Page 45: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 27

Ese día, un Airbus A330 de la aerolínea Air France, que cubría la ruta Río de Janei-ro – París (vuelo AF447), se estrelló en el océano atlántico [The Aviation Herald 2011d], poco tiempo después de su despegue. El siniestro se encuentra aún hoy día bajo investigación. Se barajan diversas hipóte-sis sobre el accidente, entre las cuales no se descarta que se produjera un fallo es-tructural íntegro de la aeronave debido a la baja velocidad de vuelo mantenida du-rante un tiempo excesivo. Al parecer, las sondas Pitot que medían la velocidad de la aeronave no alertaron a los pilotos, debido a un mal funcionamiento de éstas. No obstante, es cierto que la aeronave volaba en ese instante en medio de una tormenta eléctrica intensa a gran altura. El día 4 de abril de 2011 se produjo una actualización sobre la situación de la investigación, debido a que se encontraron restos de la ae-ronave que podrían conducir a la resolución de la investigación [The Aviation Herald 2011e]. Los días 2 y 3 de mayo de 2011 se encontraron las cajas negras del aparato, que tras un análisis, puede que permitan determinar las causas del sinies-tro. Afortunadamente, accidentes tan graves como estos no se dan a menudo, gracias a las comprobaciones, el sobre-dimensionamiento y la infinidad de pruebas a las que son sometidos todos los sistemas que componen una aeronave, y en especial, las estructuras aeronáuticas: el fuselaje, el tren de aterrizaje, los depósitos de combus-tible, etc. No obstante, ello no evita que se produzcan otra serie de incidentes más o menos graves en los aproximadamente 300.000 vuelos que diariamente surcan el cielo a lo largo del globo. Algunos de estos incidentes tienen que ver con impactos producidos por aves durante las maniobras de despegue y aterrizaje [The Aviation Herald 2011c], fallos de alguno de los sistemas del tren de aterrizaje, fenómenos metereológicos (Figura 15) como fuerte granizo [The Aviation Herald 2011a], u otros.

Figura 15 – Daños en un aeronave Lockheed L-1011 durante el vuelo TS-906 desde Lyon (Francia) hasta Berlín (Alemania). La aeronave sufrió un fuerte granizo que afectó al morro y a la zona de las alas [The Aviation Herald 2011a].

Page 46: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

28 Luciano Casado Martínez

Según el Aviation Safety Program de la NASA, en Estados Unidos se planea redu-cir los accidentes fatales de aeronaves en un 90% para el año 2022 [NASA 2011]. Los sistemas SHM pueden jugar un papel fundamental en la consecución de este objetivo. Queda patente pues que la comprobación automática, autónoma y en tiempo real de las estructuras aeronáuticas, además de permitir reducir los costes de manteni-miento de los aparatos, puede ser de gran ayuda de cara a mejorar la seguridad durante la operación de las aeronaves. A continuación se va a plantear una vía de investigación alrededor del sistema SHM descrito, analizando además la implicación de las áreas tecnológicas involu-cradas en su concepción.

4. Vía de investigación propuesta

El objetivo que se ha perseguido con la investigación reflejada en esta tesis, es lo-grar un sistema de SHM autónomo y automático, capaz de funcionar en tiempo real, y que permita la realización de análisis de integridad estructural sin necesidad de gestión externa. La búsqueda de un sistema de bajo peso, volumen y consumo son valores añadidos que lo hacen atractivo al campo aeronáutico al que está diri-gido. Según los razonamientos expuestos hasta ahora, se ha planteado una vía de inves-tigación centrada en el diseño de una arquitectura electrónica que contemple la utilización de las técnicas de análisis basadas en el método de ondas guiadas ul-trasónicas. Actualmente, no existe una técnica de análisis de ondas guiadas ul-trasónicas (round-robin, beamforming, time reversal, etc.) que se pueda considerar “definitiva” a la hora de detectar defectos en placas de espesor reducido. Efectiva-mente, la combinación de todas las técnicas de análisis aplicables con el método de ondas guiadas ultrasónicas es lo que permite lograr una notable precisión en la de-tección de defectos. Además, se debe tener en cuenta que algunas de las técnicas únicamente son eficientes en campo lejano (far-field), mientras que otras son más adecuadas para el caso de campo cercano (near-field). En la consecución del sistema SHM descrito, se encuentran involucradas varias áreas tecnológicas pertenecientes a las tecnologías de la información y las comuni-caciones (Figura 16). Un sistema como el planteado, basado en el método de ondas guiadas ultrasónicas, requiere de unos transductores mediante los cuales transmitir a la estructura las señales ultrasónicas deseadas. Los avances en transductores pie-zoeléctricos han dado lugar a transductores cada vez más pequeños y eficientes, que al ser transductores no intrusivos, se pueden utilizar en cualquier estructura aeronáutica existente para monitorizar el comienzo y la progresión de los daños estructurales [Giurgiutiu et al. 2002].

Page 47: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 29

Figura 16 – Escenario embarcado de aplicación, donde el sistema SHM opera de manera automática, autónoma y en tiempo real. Cada equipo SHM se conecta a un array de transductores, mediante el cual realiza el análisis de integridad estructural, y envía los resultados o alertas al computador cen-tral utilizando la red de comunicaciones (guiadas o inalámbricas). Los avisos necesarios se envían a una estación en tierra mediante el sistema de comunicaciones inalámbricas disponible. Por otro lado, el diseño de un sistema de SHM autónomo, automático y capaz de funcionar en tiempo real, requiere de una electrónica diseñada específicamente para la ocasión. En efecto, tanto la generación de señales, como la adquisición o el procesamiento de señal necesario se deben implementar mediante componentes electrónicos, bien sean analógicos o digitales. Técnicas de análisis como beamfor-ming o time reversal requieren de una generación y adquisición de señales concu-rrente, utilizando señales ultrasónicas de gran ancho de banda. Este requerimiento no sería posible sin las capacidades actualmente disponibles en los dispositivos programables FPGA (Field Programmable Gate Array), que aportan una gran can-tidad de lógica programable capaz de reproducir desde funciones sencillas hasta sistemas de adquisición y procesamiento complejos. La inclusión de lógica DSP, generación de relojes, etc. en el interior de estos dispositivos facilita la integración de funciones clave en un espacio reducido. Asimismo, la necesidad de gestión y procesamiento de señal autónoma del sistema SHM puede ser cubierta por las ele-vadas capacidades de procesamiento de los procesadores actuales, evitando de esta forma externalizar dichas funciones mediante computadores externos dedicados al procesamiento de los datos. Tanto la circuitería analógica como digital se han beneficiado a lo largo de los años de la escalada de integración, así como de la reducción de consumo de los circuitos electrónicos. Debido a ello, han aparecido circuitos electrónicos más capaces y po-tentes, a la vez que diminutos e integrados, abriendo la puerta a la introducción de la electrónica de gran capacidad en un sistema de SHM como el descrito, mante-

Sistema SHM autónomo y automático

Computador central

Red de comuni-caciones

Estación en tierra

Transductores

Page 48: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

30 Luciano Casado Martínez

niendo siempre la operación autónoma, automática y en tiempo real. Los circuitos analógicos necesarios para excitar los transductores piezoeléctricos, para recoger las señales, o para proporcionar un aislamiento entre los transductores y el sistema SHM, se han beneficiado de la misma evolución, por lo que estas funciones y otras muchas se pueden hoy día implementar en un espacio reducido. Por otra parte, las mejoras en los sistemas de alimentación han sido también muy importantes. La aparición de conversores DC/DC de gran eficiencia y de converso-res aislados que proporcionan un aislamiento de hasta 1500 VDC, protección indefi-nida frente a cortocircuitos, filtros de entrada integrados cumpliendo normativa FCC, etc. ha hecho posible el diseño de sistemas de alimentación complejos y nece-sarios para dispositivos FPGA, o para disponer de una protección adecuada en su conexión con las fuentes de alimentación disponibles en una aeronave. Por otro lado, los sistemas de comunicaciones, tanto guiados como inalámbricos, han experimentado un amplio desarrollo y extensión. Estos sistemas facilitan la interconexión de dispositivos situados en diferentes escenarios. El envío de alertas o resultados de un sistema SHM autónomo a un computador de control presente en una aeronave se realiza mediante alguno de estos medios, generalmente me-diante comunicaciones guiadas. No obstante, la introducción de los avances en sis-temas de comunicaciones en aeronaves se realiza de manera gradual, pudiendo considerar que en el entorno aeronáutico su introducción se encuentra varios pasos por detrás de la electrónica de consumo. La principal razón detrás de ello es que a los sistemas de comunicaciones guiadas presentes en aeronaves se les exige una robustez y garantía de funcionamiento mayor, por lo que se tienden a utilizar pro-tocolos de comunicaciones “antiguos” pero ampliamente probados, añadiendo me-joras que los hacen más robustos. Uno de los estándares más utilizados en aeronáu-tica es el Mil-Std-1553, que se trata de un estándar de comunicaciones en bus serie basado en la norma RS-485, con una tasa de transferencia de 1 Mbps, lejos de las tasas de transferencia logradas en los sistemas de consumo. Por ello, en la actuali-dad se está empezando a implantar sistemas de comunicaciones de mayor capaci-dad, como el denominado AFDX (Avionics Full-Duplex Switched Ethernet), que está basado en el estándar IEEE-802.3 (Ethernet) y que admite tasas de transferen-cia sostenidas de hasta 100 Mbps, con características deterministas para aplicacio-nes en tiempo real. Está diseñado para las interfaces críticas de vuelo de las aero-naves, incluidos los sistemas de motores, los controles de vuelo y la navegación, y actualmente se utiliza en aeronaves modernas, como el Airbus A380, A350 o el Bo-eing B787 Dreamliner, entre otros. No obstante, no se debe olvidar que los sistemas de comunicaciones inalámbricas también tienen su importancia en el entorno aeronáutico. En un sistema SHM, que puede estar situado en lugares inaccesibles de una aeronave, donde una red de comunicaciones guiadas no puede llegar, la utilización de comunicaciones inalám-bricas puede suponer una gran ventaja. Y no hablemos ya de la reducción de peso que supone la utilización de comunicaciones inalámbricas. No obstante, su intro-ducción en el sector aún no ha tenido éxito, debido principalmente a dos aspectos: las características no determinísticas del medio de transmisión inalámbrico y la dificultad para transmitir inalámbricamente en el interior de estructuras de mate-

Page 49: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 31

rial metálico. Este último aspecto podría cambiar con el tiempo debido a la progre-siva introducción de materiales compuestos en las aeronaves más modernas (Figura 17).

Figura 17 – Ejemplo de la creciente importancia de los materiales compuestos en aviación civil. En la figura se muestra el Boeing 787 Dreamliner. El 50 % de la estructura se fabrica utilizando materiales compuestos. En esta aeronave está previsto incluir sistemas de monitorización de impactos en los paneles exteriores [Balageas 2006]. Por último, los avances experimentados en las técnicas de tratamiento de señal (filtrado, amplificación, etc.), y en el análisis de datos han dado lugar a nuevos al-goritmos más potentes y eficaces en su cometido. En el caso de un sistema SHM, esa mejora se plasma directamente en un mayor rendimiento del equipo mante-niendo el mismo hardware, lo que da un valor añadido mayor al sistema. Además, los avances en el campo de la electrónica permiten hacer frente al mayor problema que presentan los algoritmos más complejos: la creciente carga computacional ne-cesaria para su ejecución. El aumento de la potencia de los procesadores de señal y de propósito general facilita el despliegue de algoritmos más complejos, incluso en situaciones donde se hace necesario realizar una ejecución en tiempo real. La combinación de todos los aspectos comentados hasta ahora es el medio en el que se asienta la vía de investigación propuesta. En los capítulos III y IV se realizarán varias aproximaciones en la dirección planteada, llegando finalmente a varias ar-quitecturas electrónicas (y sendos prototipos). El objetivo más adelantado de la in-vestigación se ha plasmado en su totalidad en el capítulo IV, donde se presenta una arquitectura electrónica capaz de poner en práctica técnicas avanzadas de análisis estructural basadas en el método de ondas guiadas ultrasónicas, con la posibilidad de operar de manera autónoma, automática y en tiempo real, manteniendo un bajo peso, volumen y consumo, aspectos que hacen esta arquitectura atractiva para su utilización en el entorno aeronáutico.

Page 50: Nuevas Aportaciones a las Tecnologías Electrónicas para la

II. El SHM y el entorno aeronáutico

32 Luciano Casado Martínez

Page 51: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 33

III. Técnicas y sistemas básicos para SHM

En este apartado se analiza el fundamento de la técnica de ondas guiadas ultrasóni-cas y su aplicación a estructuras del entorno aeronáutico. Se plantean, además, los métodos de inspección y análisis básico de un sistema de este tipo, y los escenarios de aplicación del sistema SHM. Asimismo, se muestra un prototipo construido co-mo primera aproximación para realizar ensayos mediante la técnica de ondas guia-das ultrasónicas y que ha permitido familiarizarse con el método. Esta primera aproximación ha dado lugar al planteamiento de la arquitectura elec-trónica necesaria para construir un sistema básico de SHM basado en el método de ondas de Lamb, pasando por el diseño y desarrollo de la arquitectura en un nuevo prototipo y la realización de las respectivas pruebas de laboratorio que confirman su correcto funcionamiento. Por último, se muestran las aportaciones de este capí-tulo en forma de publicaciones.

1. La técnica de ondas guiadas ultrasónicas

En el campo del NDE/T se utilizan diversos métodos basados en ondas sónicas y ondas ultrasónicas. Los métodos más utilizados son los de Emisión Acústica (del inglés Acoustic Emission o AE) e Inspección Ultrasónica. La primera técnica es to-talmente pasiva y no requiere excitación externa alguna; las ondas de estrés que surgen en el interior del material y son producidas normalmente por defectos, se recogen para su análisis. El segundo método requiere una excitación externa de alta frecuencia, que generalmente es producida por el mismo sistema de análisis. El fundamento de ambas técnicas se puede combinar en lo que se conoce como méto-do Acústico-Ultrasónico. Aunque este método existe desde hace años, en la práctica aún no ha sido ampliamente utilizado. Los últimos avances en esta área incluyen aplicaciones de ondas guiadas ultrasónicas, área donde se centra la investigación de este documento de tesis. El método de imagen por ultrasonidos basado en ondas guiadas ultrasónicas hace uso de un array de transductores fabricados con material cerámico que cuenta con propiedades piezoeléctricas, como por ejemplo, el titanato zirconato de plomo (del inglés lead zirconate titanate, conocido como PZT). Los transductores piezoeléctri-cos se embeben en la estructura durante el proceso de fabricación de la misma o se adhieren a la estructura sometida a análisis utilizando algún tipo de pegamento epoxi. Utilizando estos transductores piezoeléctricos y un hardware especial para realizar la excitación, adquisición y procesado de señal, se generan unas ondas guiadas en la estructura, conocidas comúnmente como ondas de Lamb o Rayleigh-Lamb [Viktorov 1967]. El hardware adquiere las señales que se han propagado por la estructura y que han sufrido múltiples rebotes, para un posterior análisis de in-tegridad estructural. Los cambios que sufren las ondas durante la propagación en cuanto a atenuación y reflexiones, se estudian de cara a detectar y localizar daños en el material [Swartz et al. 2006].

Page 52: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

34 Luciano Casado Martínez

Las ondas de Lamb se propagan a través de la estructura, reflejándose múltiples veces en los límites de la estructura y en cualquier defecto que exista, como grietas o delaminaciones. Como consecuencia de los rebotes sufridos, las ondas retornan a los transductores, que recogen las señales para que puedan ser estudiadas. En la Figura 18 se muestra un ejemplo simplificado de propagación de las ondas de Lamb.

Figura 18 – Ejemplo simplificado de propagación de las ondas de Lamb en una estructura. a) Al apli-car la señal de excitación al transductor 1, se generan las ondas de Lamb que se propagan por la es-tructura. b) Las ondas de Lamb rebotan en los límites de la estructura y en el defecto y retornan a los transductores, que recogen las señales para que puedan ser estudiadas con posterioridad. Hasta ahora, la mayoría de estudios realizados con las ondas guiadas ultrasónicas u ondas de Lamb se han centrado en materiales homogéneos, como son los materia-les metálicos utilizados en gran medida en el fuselaje de una aeronave [Lee et al. 2003a, Lee et al. 2003b]. Sin embargo, cada vez más estudios se centran en analizar la propagación de las ondas de Lamb en materiales compuestos (conocidos en in-glés como composites). Algunos de esos estudios se basan en la utilización de la técnica de ondas de Lamb de una manera similar a como se utiliza para analizar materiales metálicos, pero adaptándola para los materiales compuestos [Tan et al. 1995]. Uno de los defectos que más interesa analizar en materiales compuestos es la delaminación [Duflo et al. 2007], problema que no está presente en materiales metá-licos al estar compuestos únicamente de una sola lámina metálica. Para materiales compuestos, en algunos casos se utiliza una variación de la técnica de ondas de Lamb, denominada Leaky Lamb Waves (LLW). El fenómeno conocido como LLW se induce cuando se realiza un ensayo ultrasónico pitch-catch (excita-ción desde un punto y adquisición en otro punto) sobre una estructura de pared delgada que se encuentra sumergida en un fluido [Bar-cohen et al. 2001]. El fenó-meno fue descubierto en el año 1982 durante unas pruebas realizadas con una sec-

2

1

PZT

Defecto

a)

b)

2

1

Estructura monitorizada

Page 53: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 35

ción de material compuesto, utilizando un sistema de imagen Schlieren [Bar-cohen et al. 1993]. El fenómeno está asociado a la frecuencia de resonancia de las ondas de Lamb en una estructura sumergida, de las que parte de su energía se acopla al flui-do (leak) e interfiere con las ondas incidentes. Los experimentos han demostrado que las Leaky Lamb Waves tienen una gran sensibilidad a variaciones en las pro-piedades del material compuesto, como su anisotropía, el espesor de las capas o las constantes de rigidez [Chimenti et al. 1985]. Los autores Bar-Cohen y Chimenti han realizado investigaciones sobre el fenómeno de LLW y su aplicación al NDE/T [Bar-cohen et al. 1985], enfocado en la documentación de los modos de propagación y los efectos observados en función del tipo de defecto. Las ondas LLW son atracti-vas en el campo del NDE/T debido a su alta capacidad para detectar defectos como delaminaciones, porosidad, zonas huecas en el material compuesto, elementos ex-traños en el interior del material y cambios en la fracción de volumen de las fibras a lo largo del material [Chimenti et al. 1991]. Actualmente, existen muchos estudios alrededor de la técnica Leaky Lamb Waves [Zeroug et al. 2003, Lee et al. 2006b, Lee et al. 2006a], por lo que se considera una técnica en pleno desarrollo en el campo del NDE/T. En definitiva, se puede afirmar que los sistemas basados en ondas guiadas ultrasó-nicas u ondas de Lamb tienen una gran proyección en el campo aeroespacial en el que se centra este documento de tesis, debido a que se pueden aplicar tanto a mate-riales metálicos como a materiales compuestos, con una capacidad contrastada para detectar numerosos tipos de defectos. Sin embargo, no se debe olvidar que la técnica basada en la propagación de ondas de Lamb se aplica principalmente para la detección de defectos en campo lejano [Giurgiutiu et al. 2004]. De cara a realizar un análisis completo de una estructura, se deben utilizar tanto técnicas de campo cercano como técnicas de campo lejano. Aunque existen técnicas de análisis para la detección en campo cercano basadas en el método de ondas guiadas ultrasónicas (triangulación, beamforming adaptativo, etc.), para la detección de defectos en campo cercano se suelen utilizar otros méto-dos, como por ejemplo, el método basado en la medida de la impedancia electro-mecánica del material. En todo caso, se pueden utilizar los mismos transductores piezoeléctricos, variando la técnica a utilizar [Giurgiutiu et al. 2002]. En los siguientes apartados se van a presentar algunas consideraciones sobre las características de las ondas de Lamb, los transductores piezoeléctricos utilizados durante la investigación, los métodos básicos de inspección y análisis, y los escena-rios de aplicación de SHM.

2. Las ondas elásticas

Una onda elástica es un tipo de onda mecánica que se propaga a lo largo de un me-dio elástico. Las ondas elásticas se han utilizado durante años para analizar res-puestas a impactos, propiedades mecánicas de diferentes materiales y, como en el caso que nos ocupa, daños estructurales.

Page 54: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

36 Luciano Casado Martínez

Las ondas guiadas ultrasónicas, también conocidas como ondas de Lamb o Ray-leigh-Lamb, son un tipo de ondas elásticas particularmente atractivas para la detec-ción de daños estructurales en aeronaves, como demuestra el inmenso número de publicaciones realizadas en los últimos quince años. Como su propio nombre indi-ca, las ondas de Rayleigh-Lamb son un caso especial de las ondas de Rayleigh (también denominadas Surface Acoustic Waves o SAW). Las ondas de Rayleigh son un tipo de ondas acústicas superficiales que se propagan en medios sólidos, como ocurre en el caso de terremotos o corrimientos de tierra, aunque también pueden ser producidas por otros medios mecánicos. Las ondas de Rayleigh tienen la pro-piedad de que se propagan cerca de la superficie del material, decreciendo su am-plitud rápidamente con la profundidad. La polarización de las ondas de Rayleigh se establece en el plano perpendicular a la superficie. No obstante, la profundidad de penetración de las ondas de Rayleigh es menor de una longitud de onda. Cuando las ondas de Rayleigh se propagan de manera guiada en medios sólidos, se conocen también con el nombre de ondas de Lamb u ondas de Rayleigh-Lamb. Las ondas de Lamb fueron descritas en primer lugar por Lamb en el año 1917, y autores posteriores realizaron un análisis exhaustivo de estas ondas [Achenbach 1973, Graff 1977, Rose 1999, Royer et al. 2000]. Se ha demostrado satisfactoriamente que la generación de las ondas de Lamb se puede realizar mediante transductores de material piezoeléctrico [Giurgiutiu 2005]. Las ondas de Lamb generadas de esta manera tienen la importante propiedad de que se mantienen confinadas dentro de las paredes de las estructura de bajo espe-sor, y pueden viajar recorriendo largas distancias, lo cual abre la puerta al análisis de áreas significativamente grandes alrededor de los transductores situados en una estructura. Además, las ondas guiadas pueden viajar dentro de paredes curvadas, lo que las hace ideales para aplicaciones de análisis de ultrasonidos de aeronaves, misiles, vasijas a presión, tanques de aceite, tuberías u otros elementos. En concreto, las ondas de Lamb se propagan en diferentes modos de propagación caracterizados por su velocidad de fase. Un medio infinito permite sólo la propaga-ción de dos modos de onda (simétrico y antisimétrico) que viajan a una velocidad única. Sin embargo, en placas de material finitas y de espesor reducido, se pueden llegar a propagar dos conjuntos infinitos de modos de propagación, cuya velocidad depende de la relación entre la longitud de onda y el espesor de la placa. Las características de propagación de las ondas de Lamb se representan en lo que se conoce como curvas de dispersión. Las curvas de dispersión representan, para cada material, los diferentes modos de propagación de las ondas de Lamb en ese material, en función del producto frecuencia-espesor f·d. Las curvas de dispersión de las ondas de Lamb se calculan, para cada material, a partir de las relaciones frecuenciales de Rayleigh-Lamb, conocidas como las ecua-ciones de dispersión [Achenbach 1973], que tienen la forma

( )( ) ( )222

24

tan

tan

kq

qpk

hp

hq

−⋅⋅⋅−=

⋅⋅

Ecuación 1

Page 55: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 37

para los modos simétricos, y

( )( )

( )qpk

kq

hp

hq

⋅⋅⋅−−=

⋅⋅

2

222

4tan

tan Ecuación 2

para los modos antisimétricos, siendo h = d/2, k el número de onda y d el espesor de la lámina de material. El parámetro p está definido según la ecuación

22

22 k

cp

L

−= ω Ecuación 3

donde ω = 2f y cL es la velocidad de propagación de las ondas longitudinales. Por su parte, el parámetro q está definido según la ecuación

22

22 k

cq

T

−= ω Ecuación 4

donde cT es la velocidad de propagación de las ondas transversales. Las ecuaciones de dispersión se pueden resolver numéricamente con el objetivo de predecir las velocidades de propagación de una onda de Lamb de frecuencia f en una lámina de espesor d. En la Figura 19 se muestra un ejemplo de las curvas de dispersión de las ondas de Lamb para una placa de aluminio de espesor reducido, donde se aprecia la veloci-dad de fase de los modos antisimétricos (A0, A1, A2, etc.) y los modos simétricos (S0, S1, S2, etc.), en función del producto espesor del material (que es un valor fijo para una estructura dada) por frecuencia de señal de excitación. La figura muestra cla-ramente cuántos modos complejos se pueden propagar en una placa de reducido espesor. La propagación única de los modos S0 y A0 es sólo posible para bajos valo-res del producto frecuencia-espesor (f·d < 2). Las curvas de dispersión dependen del material con el que esté construida la es-tructura, por lo que se deben recalcular para cada material. Asimismo, las curvas de dispersión son de gran utilidad para determinar inicialmente el rango de fre-cuencias en el cual sólo se propagan los modos fundamentales (S0 y A0) y para de-terminar las regiones no dispersivas dentro de las curvas.

Page 56: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

38 Luciano Casado Martínez

Figura 19– Curvas de dispersión de las ondas de Lamb para una placa de material metálico y redu-cido espesor. En abscisas se representa el producto frecuencia por espesor del material, mientras que en ordenadas se indica la velocidad de fase [Staszewski et al. 2004]. La frecuencia de excitación de las ondas debe ser suficientemente alta para hacer que la longitud de onda sea comparable al tamaño de los defectos, al mismo tiem-po que suficientemente baja para evitar que se propaguen modos de nivel superior al fundamental que dificulten la detección [Wait et al. 2004]. Asimismo, como se puede observar en la Figura 19, en el rango en el cual se propagan únicamente los modos S0 y A0, la velocidad de fase del modo S0 es prácticamente constante; es de-cir, el modo S0 es mucho menos dispersivo que el modo A0. No obstante, el objetivo es lograr la propagación de un único modo, para lo cual se puede demostrar que existen unas frecuencias de excitación concretas para las cuales la vibración de uno de los modos es muy baja, haciendo que el otro sea el modo dominante (Figura 20). No obstante, en la generación de las ondas de Lamb, no sólo la frecuencia de la se-ñal de excitación juega un papel fundamental. De hecho, la forma de la señal de excitación también tiene una gran importancia. Las ondas de Lamb son ondas dis-persivas que pueden existir en un número infinito de modos de propagación, para frecuencias desde decenas de kilohercios hasta algunos megahercios. Sin embargo, utilizando señales de excitación suavizadas (enventanadas) y sintonización en fre-cuencia, es posible confinar la señal de excitación en un solo modo de propagación de onda de Lamb, de frecuencia portadora f, velocidad de onda c, y longitud de onda λ = c/f.

Page 57: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 39

Figura 20– Curvas de tensión en el rango de 0 a 500 kHz para los modos S0 y A0 para una estructura de aluminio de 1 mm de espesor, utilizando transductores piezoeléctricos de 7 mm de diámetro. En abscisas se representa la frecuencia de la señal de excitación, mientras que en ordenadas se indica la tensión o voltaje medido [Yu et al. 2010]. Una de las señales más utilizadas para lograr este objetivo se trata de una onda sinusoidal enventanada con una ventana de Hanning, que tiene un ancho de banda frecuencial reducido [Proakis et al. 2007]. Las ondas de Lamb generadas de esta forma se encuentran limitadas a los modos fundamentales de propagación S0 y A0. En la Figura 21 se muestra un ejemplo de la señal sinusoidal de frecuencia funda-mental f enventanada con una ventana de Hanning.

Figura 21 – Señal sinusoidal de cuatro periodos y frecuencia fundamental f enventanada con una ventana de Hanning. El enventanado de la señal sinusoidal permite reducir los lóbulos laterales del espectro de la señal [Kim et al. 2009].

Page 58: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

40 Luciano Casado Martínez

Esta señal, que es generable por un transductor piezoeléctrico, tiene la forma:

pOT tttftsts ≤≤⋅⋅⋅⋅= 0 donde ),2cos()()( π Ecuación 5

donde s0(t) es una ventana de suavizado de corta duración que se aplica a la señal de frecuencia portadora f entre t = 0 y t = tp. Para obtener una detección precisa de los defectos de una estructura es de vital im-portancia excitar un único modo de propagación en una dirección controlada [Wilcox et al. 1999]. En efecto, el modo de onda de Lamb seleccionado para realizar la detección de defectos debe reunir las siguientes características [Zhongqing et al. 2006]:

• Ser no dispersivo.

• Tener baja atenuación.

• Alta sensibilidad.

• Excitación sencilla de generar.

• Buena detectabilidad.

• Buena selectividad sin gran esfuerzo. En base a estos criterios, diferentes autores han realizado estudios sobre los modos de propagación y las formas y frecuencias de excitación en láminas de diferentes espesores de material aeronáutico [Wilcox et al. 1999]. Se ha determinado que una forma de onda con un ancho de banda estrecho es capaz de prevenir la dispersión de las ondas. Por esta razón, una señal enventanada es más apropiada que una se-ñal pura en frecuencia, por lo cual es frecuentemente adoptada como señal de dia-gnóstico para el método basado en ondas de Lamb. El rango de frecuencias selec-cionables para un impulso sonoro (toneburst) sinusoidal de n periodos y de una frecuencia de excitación f0, se puede determinar mediante las ecuaciones:

−⋅=n

kff 10min Ecuación 6

y,

+⋅=n

kff 10max Ecuación 7

donde k es una constante que depende del ancho de banda utilizado. Las ecuacio-nes anteriores indican que incrementar el número de periodos de la señal de excita-ción puede reducir el ancho de banda, dando lugar a una menor dispersión. No obstante, se debe tener en cuenta que al utilizar un número elevado de periodos, las ondas incidentes y reflejadas pueden llegar a solaparse. De manera alternativa, el número de periodos y la frecuencia más adecuados para la generación de un modo de onda de Lamb, puede ser determinado por el pará-

Page 59: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 41

metro conocido en inglés como minimum resolvable distance o MRD [Wilcox et al. 2001]:

minmaxmin

0

+

−⋅⋅= inicialT

v

l

v

ll

d

vMRD Ecuación 8

donde l y d son la distancia de propagación de las ondas y el espesor de la placa, respectivamente. v0, vmin y vmax son las velocidades de grupo en la frecuencia central del tren de ondas (wave-packet) y la velocidad mínima y máxima del tren de ondas para viajar una distancia l. Por su parte, Tinicial se corresponde con la duración inicial del tren de ondas. Se ha determinado que cuanto menor sea el valor MRD, mejor será la resolución conseguida en la detección de defectos, consiguiendo una frecuencia y número de periodos adecuado, al menos para el caso de materiales compuestos. Los modos S0 y A0 están caracterizados por poseer un valor MRD muy bajo. En el estudio reali-zado por Wilcox et al., se concluye además que el modo S0 exhibe una sensibilidad razonable ante defectos situados en cualquier profundidad del material, mientras que el modo A0 es más sensible a roturas y corrosión superficial, pero este último no sería apropiado para la propagación a gran distancia debido a su alto índice de atenuación [Pierce et al. 2000]. Otro estudio basado en la utilización de la densidad espectral de potencia o DEP [Kessler et al. 2001] sugiere que, para una cierta estructura y cierto tipo de defecto, la mejor frecuencia de excitación debería ser determinada observando la máxima respuesta obtenida en amplitud para un rango de frecuencias potencialmente inte-resante. Asimismo, de cara a la selección de una forma de onda adecuada, las for-mas sinusoidales puras parecen excitar los armónicos de las ondas de Lamb más eficientemente que las formas parabólicas, y una forma sinusoidal enventanada puede ayudar a estrechar el ancho de banda de la señal y a centralizar la energía. Otra serie de investigadores [Maslov et al. 1997, Kundu et al. 1997] han descubierto que si el nivel de ondas de estrés debido a la existencia de un defecto es mayor para un modo de onda que para otros, dicho modo de onda es más efectivo a la hora de identificar ese tipo de defecto. El modo simétrico S0 y el antisimétrico A0 son ampliamente utilizados en la prácti-ca. Aunque el modo simétrico S0 es preferido en la mayoría de estudios [Roh et al. 1995, Lemistre et al. 2001], la utilización del modo A0 se está extendiendo debido a su menor longitud de onda, teniendo en cuenta que la longitud de onda debe ser menor o igual al tamaño del defecto. Particularmente, se ha demostrado que el modo A0 es muy efectivo a la hora de detectar delaminaciones y roturas transversa-les de las uniones laminares en materiales compuestos [Degertakin et al. 1997, Monkhouse et al. 2000, Grondel et al. 2002]. En el siguiente apartado se tratan las propiedades de los transductores piezoeléctri-cos que se han utilizado para generar las ondas de Lamb durante la investigación.

Page 60: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

42 Luciano Casado Martínez

3. Transductores piezoeléctricos

En 1880 los hermanos Jacques y Pierre Curie descubrieron que al aplicar presión a un cristal de cuarzo, se establecían cargas eléctricas en éste; a este fenómeno lo lla-maron “el efecto piezoeléctrico” o efecto piezoeléctrico directo [Katzir 2006]. El “efecto piezoeléctrico inverso” fue descubierto el mismo año por el Nobel en Física Gabriel Lippman. Lippman verificó que un campo eléctrico aplicado sobre las dos caras opuestas del cristal originaba una deformación en el material. En efecto, los cristales piezoeléctricos tienen la capacidad de polarizarse eléctrica-mente, apareciendo una tensión eléctrica en su superficie al someterles a una ten-sión mecánica, y viceversa. Es decir, al ser sometidos a una diferencia de potencial externa, reaccionan produciendo una deformación en el propio cristal. Como se ha comentado, se diferencia entre efecto piezoeléctrico directo e inverso. El efecto di-recto se observa en la Figura 22. Al aplicar una tensión o compresión mecánica al cristal, éste genera entre sus bornes una diferencia de potencial. La polaridad de la tensión eléctrica generada depende de la dirección de la fuerza ejercida sobre el cristal.

Figura 22– Efecto piezoeléctrico directo. a) Cristal piezoeléctrico en reposo. b) Al aplicar una tensión al cristal, éste genera un voltaje de polaridad opuesta. c) Al comprimir el cristal, genera un voltaje de la misma polaridad.

En cuanto al efecto piezoeléctrico inverso (Figura 23), al aplicar una diferencia de potencial en los bornes del cristal, éste se contrae o expande, dependiendo de la polaridad de la tensión aplicada.

P +

O P

+ –

P + –

– +

Compresión Tensión

+ –

a) b) c)

Page 61: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 43

Figura 23 – Efecto piezoeléctrico inverso. a) Cristal en reposo. b) Al aplicar un voltaje de la misma polaridad, el cristal se expande. c) Al aplicar un voltaje con polaridad contraria, el cristal se contrae. La diferencia de potencial generada por los cristales piezoeléctricos al ejercer sobre ellos una fuerza determinada, es la misma que es necesario aplicarles para que su-fran esa misma deformación. Diferentes estudios han demostrado que los transductores piezoeléctricos pueden realizar de manera satisfactoria la transmisión y recepción de ondas de Lamb [Giurgiutiu 2003b, Giurgiutiu et al. 2003]. En los sistemas SHM que utilizan trans-ductores piezoeléctricos, dichos elementos se fijan a la estructura a monitorizar. De este modo, se utiliza en primer lugar el efecto piezoeléctrico inverso para, excitando los transductores con una forma de onda concreta, generar una onda superficial que viaja por toda la estructura, denominada onda de Lamb. Las ondas de Lamb se propagan por la estructura, donde sufren múltiples rebotes en los límites de la es-tructura y en las discontinuidades, y retornan a los transductores. Utilizando el efecto piezoeléctrico directo se convierten las ondas mecánicas en formas de onda eléctricas que son adquiridas para su posterior análisis. Los transductores piezoeléctricos (Figura 24) son pequeños transductores no intru-sivos que se pueden utilizar en cualquier estructura aeronáutica existente para mo-nitorizar el comienzo y la progresión de los daños estructurales [Giurgiutiu et al. 2002]. Los transductores piezoeléctricos se fabrican con un material cerámico que cuenta con propiedades piezoeléctricas, como por ejemplo el titanato zirconato de plomo (del inglés lead zirconate titanate), también conocido como PZT.

Figura 24 – Forma física de un transductor piezoeléctrico circular, de material cerámico, de unos 7 mm de diámetro y 0,5 mm de espesor.

~ 7 mm

P +

O P

+ –

P + –

+ –

– +

a) b) c)

Page 62: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

44 Luciano Casado Martínez

Las mayores ventajas de los transductores piezoeléctricos sobre las sondas de ultra-sonidos convencionales son un tamaño reducido, bajo peso y espesor, y un coste reducido [Michaels et al. 2006], que se encuentra en continuo descenso. Los trans-ductores piezoeléctricos pueden incluso ser embebidos dentro de estructuras de material compuesto durante el proceso de fabricación [Qing et al. 2007]. Sin embar-go, los materiales compuestos requieren de un análisis más complejo porque los daños internos son más difíciles de detectar en este tipo de materiales [Monnier 2006]. Los principales materiales utilizados en el fuselaje de una aeronave son los materia-les metálicos y los materiales compuestos (composites). Los transductores piezo-eléctricos utilizados para testear una estructura metálica difieren de los utilizados para testear estructuras de material compuesto. Los transductores utilizados para materiales metálicos tienen sus frecuencias de resonancia cercanas a los 300 kHz, mientras que para materiales compuestos la frecuencia de resonancia suele ser me-nor [Pierce et al. 2000].

3.1 Los transductores piezoeléctricos seleccionados

Durante la investigación se han utilizado unos transductores piezoeléctricos dise-ñados para realizar análisis en estructuras metálicas. Con el fin de evaluar las fre-cuencias de resonancias de estos discos piezocerámicos, la empresa Noliac Inc. [Noliac 2011] ha realizado un ensayo dinámico de respuesta en frecuencia de los mismos (Figura 25). El gráfico obtenido ayuda, entre otros aspectos, a calcular el consumo de potencia de un transductor piezocerámico cuando se le aplica una se-ñal de una cierta amplitud, de modo que permite prever qué sistema de alimenta-ción es necesario para excitar los transductores. Los elementos piezoeléctricos se han utilizado en diferentes configuraciones, entre las que destaca la disposición en un array lineal de elementos. En este caso, la sepa-ración entre los elementos se ha establecido como d = λ/2, siendo λ la longitud de onda de onda guiada que se propaga en la estructura. En cada caso, se ha determi-nado el valor de la longitud de onda para una frecuencia de excitación y un modo de propagación concreto, teniendo en cuenta el tipo de material a analizar. Por ejemplo, en el caso de una placa de aluminio de 1,1 mm de espesor, utilizando los transductores piezoeléctricos de 7 mm de diámetro, se generan diversos modos de ondas de Lamb, obteniéndose como primera frecuencia de excitación óptima 300 kHz (modo S0) con la correspondiente velocidad de onda c = 5440 m/s, y longitud de onda λ = 18 mm. Análogamente, para el modo antisimétrico, se ha obtenido una frecuencia óptima de 100 kHz con una velocidad de propagación c = 2000 m/s. Por lo tanto, en este caso, el interespaciado de los elementos del array se ha fijado en d = 9 mm.

Page 63: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 45

Figura 25 – Diagrama de impedancia frente a frecuencia de los discos piezocerámicos utilizados en la investigación. Ensayo realizado por la empresa Noliac Inc. [Noliac 2011].

3.2 Disposición de los transductores

La disposición de los transductores en la estructura afecta en gran medida a la ca-pacidad de detección de defectos. En sistemas mediante en los cuales es posible excitar un conjunto de transductores piezoeléctricos, los transductores se pueden disponer en diferentes configuraciones, cada una de ellas con unas características diferentes [Yu et al. 2010]: Phased array y Sparse array. A continuación se presen-tan las configuraciones más utilizadas, haciendo incapié en la configuración Phased Array elegida durante la investigación.

• Phased array. La disposición Phased array ha sido la elegida durante la in-vestigación. Consiste en disponer los transductores sobre la estructura si-guiendo un esquema de array lineal con equidistancia entre los elementos (Figura 26). Este esquema de posicionado, posibilita monitorizar amplias áreas de la estructura desde un único punto. La disposición Phased array utiliza los conceptos de rotación de haz excitando alternadamente los ele-mentos del array de modo que la interferencia constructiva/destructiva de todos los transductores forme un haz de ondas en una dirección concreta [Giurgiutiu 2007b]. En sistemas de SHM basados en ondas de Lamb que só-lo permiten excitar un transductor al mismo tiempo, la rotación de haz se puede realizar mediante un proceso round-robin de activación de los trans-ductores (rotación virtual). Este método consigue una cierta mejora respecto a la utilización de un único transductor. No obstante, en sistemas de SHM más avanzados, la excitación simultánea de los transductores con señales desfasadas entre todos ellos posibilita una rotación del haz “real”, logrando

Resonancia

Anti-resonancia

Page 64: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

46 Luciano Casado Martínez

una mayor precisión en la detección de los defectos. Se debe tener en cuenta que la disposición Phased array sólo permite detectar defectos de un tama-ño dado si la longitud de onda es mayor o igual al defecto.

Figura 26 – Diagrama de funcionamiento de la disposición Phased array. Los transductores que for-man el array se utilizan para generar un patrón de haz de escaneo, que permite analizar con mayor precisión la estructura.

• Sparse array. A diferencia del esquema Phased array, donde los transducto-res se encuentran físicamente próximos entre ellos, la disposición de los transductores en una configuración Sparse array sitúa los transductores dis-tribuidos en el espacio, escaneando el área interior y exterior al array de ele-mentos (Figura 27). En esta disposición, uno de los elementos es excitado con una señal ultrasónica. La onda generada en el material se propaga por la estructura. Cuando la onda se encuentra con algún defecto, se dispersa, haciendo que la energía dispersada llegue al resto de transductores. La dis-tribución Sparse array permite determinar no sólo el tamaño de los defectos, sino también los bordes de éstos (siempre que la longitud de onda de las se-ñales sea comparable al tamaño del defecto), cosa que en la configuración Phased array necesita de una mayor carga de procesado de señal [Yu et al. 2010].

Haz de escaneo

Phased array

Defecto

Transductor piezoeléctrico

Page 65: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 47

Figura 27 – Diagrama de funcionamiento de la disposición Sparse array. Los transductores que for-man el array se distribuyen en el espacio de modo que el sistema escanea el área interior y exterior al array de transductores. Uno de los transductores se excita con una señal, generando una onda ul-trasónica en la superficie que en al alcanzar el defecto se dispersa, llegando las ondas dispersadas al resto de transductores.

4. Técnicas de análisis básico con ondas de Lamb

Los procedimientos básicos de test ultrasónico se basan principalmente en los mo-dos de inspección básicos y en el algoritmo de round-robin, que se presentan a con-tinuación. No obstante, hay que ser conscientes de la existencia de otras técnicas más avanzadas, que si bien no forman parte del propósito de este capítulo dedica-do a técnicas básicas, se presentarán en el capítulo IV.

4.1 Modos de inspección básicos

Los modos de inspección básicos consisten en excitar un transductor y adquirir señal por el mismo o por otros transductores (Figura 28). Esto engloba tanto ensa-yos Pitch-catch, en los cuales se transmite señal a un transductor A y se adquiere a través de un transductor B situado en otra zona de la estructura, como ensayos Pul-se-echo, donde utilizando el mismo transductor, se transmiten al medio las señales ultrasónicas, que después de propagarse y sufrir múltiples rebotes en la estructura, retornan al transductor, que las adquiere.

Defecto

Transductor 1

Transductor 2

Transductor 3

Transductor

Page 66: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

48 Luciano Casado Martínez

Figura 28 – Modos básicos de funcionamiento del análisis ultrasónico. a) Modo Pulse-echo, donde un mismo transductor se excita con una señal concreta que se propaga por la estructura y que después de múltiples rebotes, retorna al mismo transductor, el cual adquiere las señales para un posterior análisis. b) Caso particular del modo Pulse-echo, donde dos transductores próximos funcionan como actuador y sensor respectivamente. c) Modo Pitch-catch, en el que un transductor que funciona como actuador se excita con una señal, transmite las ondas a la estructura, y son recibidas por otro trans-ductor que funciona como sensor, adquiriendo dichas señales. Existe una variación del modo Pulse-echo en la cual transductores físicamente próximos se utilizan uno como actuador y el otro como sensor. Esta lógica se puede extender a un array de elementos, dando lugar al análisis round-robin que se pre-senta a continuación.

4.2 Análisis round-robin

El análisis round-robin se basa en un array de N transductores dispuestos sobre la estructura que se desea monitorizar. El procedimiento consiste en alternar la señal de excitación y la señal de adquisición entre los transductores disponibles. Para un caso en el que se utilicen doce transductores, se realizan un total de 144 ensayos diferentes. El análisis round-robin comienza enviando la señal de excitación al pri-mer transductor (Emisor, E), y utilizando el mismo transductor para adquirir las señales recibidas (Receptor, R) de la estructura (ensayo E1R1). En un segundo en-sayo, se cambia la adquisición al segundo transductor phased array (ensayo E1R2). El canal de adquisición se incrementa en sucesivos ensayos hasta que se llega al

Actuador (Pitch)

Sensor (Catch)

Actuador y sensor

c)

a)

Actuador

b)

Sensor

Page 67: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 49

ensayo E1R12. A continuación, el canal de excitación se varía al resto de canales, y se repite el procedimiento anterior hasta que finaliza el ensayo E12R12, completan-do un total de 144 ensayos diferentes. A la postre, se utilizan técnicas de procesado de señal para reconstruir una imagen bidimensional de la estructura, indicando en ella los defectos encontrados en el material. Para la reconstrucción de la imagen de la estructura a partir de las señales adquiri-das durante el análisis round-robin, se utiliza la técnica conocida como beamfor-ming sintético, por cuanto la aplicación del beamforming “real” requiere de la transmisión simultánea de varias señales utilizando un array de transductores, lo cual se considera una técnica avanzada que se comentará en el capítulo IV. En efec-to, para sistemas de SHM basados en ondas de Lamb en los cuales no es posible transmitir y adquirir simultáneamente señales de un array de transductores, se aplica la técnica de beamforming sintético. En la técnica de beamforming sintético se activan los transductores utilizando una metodología round-robin, de modo que en cada momento, se utiliza un único transductor para transmitir señal a la estructura. Las señales reflejadas a lo largo de la estructura se reciben en el resto de transductores, con el transductor de transmi-sión actuando como transmisor y receptor a la vez, y el resto de transductores úni-camente como sensores pasivos. De esta forma, se genera una matriz de N×N pri-mitivas de señal (Tabla 1).

Patrón de disparo de los transmisores

Respuesta del Beamforming

sintético T0 T1 T2 … TN-1

R0 P0,0(t) P0,1(t) P0,2(t) … P0,N(t) W0(t) R1 P1,0(t) P1,1(t) P1,2(t) … P1,N(t) W1(t) R2 P2,0(t) P2,1(t) P2,2(t) … P2,N(t) W2(t) … … … … … … … R

ecep

tore

s

RN-1 PN-1,0(t) PN-1,1(t) PN-1,2(t) … PN-1,N-1(t) WN-1(t) Tabla 1- Señales primitivas capturadas en un análisis round-robin para la aplicación del algoritmo de beamforming sintético. El método de beamforming sintético requiere de un análisis con metodo-logía round-robin para adquirir las primitivas.

Las primitivas se combinan para formar las respuestas del beamforming sintético, utilizando el algoritmo del mismo nombre, según la ecuación:

( )∑−

=

−=1

0,)(

N

jjjii tPtW δ Ecuación 9

donde 0∆⋅= jjδ , 1-N,0,1,j L= y )cos( 00 φ⋅=∆c

d, siendo d el espesor del

material y c la velocidad de las ondas en el material.

Page 68: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

50 Luciano Casado Martínez

Los retrasos δj se seleccionan de tal forma que permitan rotar el haz de transmisión en un ángulo 0φ . Las respuestas del beamforming sintético Wi(t) se combinan para formar la señal total recibida SR(t), según la ecuación:

( ) ( )∑∑∑−

=

=

=

−−=−=1

0

1

0,

1

0

)(N

i

N

jjiji

N

ijiR tPtWts δδδ Ecuación 10

Este método supone que el objetivo a analizar se encuentra en un ángulo 0φ . Sin embargo, en un caso general, el ángulo en el que se encuentra el objetivo no es co-nocido y necesita, por tanto, ser determinado previamente. Por tanto, la señal reci-bida se suele escribir en función del parámetro 0φ :

( ) ( )[ ] ( ) ( )[ ]∑∑∑∑−

=

=

=

=

∆⋅−∆⋅−=−−=1

0

1

0000,

1

0

1

000,0 ),(

N

i

N

jji

N

i

N

jjijiR jitPtPts φφφδφδφ Ecuación 11

O lo que es lo mismo:

( )[ ]∑∑−

=

=

∆⋅+−=1

0

1

000,0 )(),(

N

i

N

jjiR jitPts φφ Ecuación 12

donde )cos()( 000 φφc

d=∆ es el retraso unidad del array para la dirección 0φ . En la

implementación práctica de este método se utiliza una interpolación polinómica mediante splines para calcular con precisión los incrementos de tiempo, de modo que caigan entre los valores fijos de la velocidad de muestreo. Para obtener un ajuste grueso del ángulo 0φ en el que se encuentra el objetivo, se utiliza una técnica de giro virtual. Esta técnica utiliza en primer lugar la Ecuación 12 para combinar las respuestas del beamforming sintético para 00 =φ grados, y

posteriormente repite el algoritmo incrementando 0φ un grado en cada iteración, hasta que encuentra un ángulo para el cual la energía recibida es máxima, es decir:

)(max 0φRE , donde ( )∫+

= 0 2

00 ,)(tt

t RR

p

p

dttsE φφ Ecuación 13

Después de la obtención del ángulo 0φ a través de un ajuste grueso, se calcula el

tiempo de ida y vuelta de la señal total transmitida, TOFτ , utilizando un estimador óptimo, como puede ser la correlación cruzada entre la señal recibida y la señal transmitida:

( )∫+

⋅−⋅= 0

)()(tt

t TR

p

p

dttstsy ττ Ecuación 14

Page 69: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 51

De este modo, el tiempo estimado c

RTOF

2=τ se obtiene cuando )(τy es máximo.

Como conclusión, la distancia estimada al objetivo se puede obtener como:

2TOF

est

cR

τ⋅= Ecuación 15

Aplicando las ecuaciones anteriores, se puede determinar la posición de los defec-tos en una estructura dada, con una precisión mayor que la obtenida al utilizar los métodos de inspección básicos.

5. Escenarios de SHM

En este apartado se muestran los dos escenarios de aplicación principales de un sistema de SHM basado en la técnica de ondas guiadas ultrasónicas.

5.1 Escenario de laboratorio

En un escenario de laboratorio (Figura 29), un operario configura el sistema SHM utilizando un software de interfaz hombre-máquina (del inglés human-machine interface o HMI) que se ejecuta en un computador externo de control, conectado al hardware SHM a través de un enlace de comunicaciones. Una vez configurado, el operario ordena la ejecución de un test. El equipo SHM comienza excitando la es-tructura y adquiriendo las señales necesarias, que son enviadas al computador ex-terno de control utilizando el mismo enlace de comunicaciones. El computador externo se encarga de realizar el procesado de señal apropiado para determinar la integridad de la estructura.

Figura 29 – Escenario de laboratorio, donde un operario configura el sistema SHM utilizando un HMI que se ejecuta en un computador externo. Ambos equipos se conectan mediante una línea de comunicaciones.

HMI

Comunicaciones Sistema SHM

Estructura sometida a análisis

Page 70: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

52 Luciano Casado Martínez

5.2 Escenario embarcado

En un escenario embarcado (Figura 30), la metodología de trabajo es completamen-te distinta. El sistema SHM se configura con un programa que realiza ensayos pe-riódicos antes de ser montado en la estructura. Una vez embarcado, el sistema lleva a cabo periódicamente análisis de SHM y únicamente envía a un computador ex-terno de control el resultado de los análisis de integridad estructural. Este es el es-cenario definitivo donde un sistema de SHM debe realizar la monitorización de integridad estructural en el entorno aeronáutico.

Figura 30 – Escenario embarcado donde un sistema SHM se introduce en la estructura aeronáu-tica para realizar el análisis de integridad estructural de manera periódica, enviando los infor-mes y alertas a la cabina de mando. Imagen cortesía de AERnnova Engineering Solutions Ibérica S.A.

6. Una primera aproximación

En el año 2006 se comenzó el desarrollo de un sistema elemental de análisis SHM para estructuras de pared delgada que hiciera uso de la técnica de ondas guiadas ultrasónicas. El desarrollo se basó en las investigaciones que en el momento se con-sideraron más prometedoras, realizadas por el equipo liderado por el profesor Vic-tor Giurgiutiu en el Departamento de Ingeniería Mecánica de la Universidad de Carolina del Sur, Columbia [Giurgiutiu et al. 2002a, Giurgiutiu et al. 2003]. Siguiendo las investigaciones descritas, en el Grupo de Diseño Electrónico se ha desarrollado un primer equipo de SHM denominado PAMELA v1, que consiste en un multiplexor/demultiplexor de nueve canales con ganancia configurable en el

Page 71: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 53

camino de demultiplexación. En la Figura 31 se muestra el diagrama general del equipo PAMELA v1.

Figura 31 – Diagrama general del sistema PAMELA v1 (en el centro), y sus conexiones con el resto de elementos, como el generador de señales, el osciloscopio y un PC externo. Al tratarse de un sistema elemental, el equipo PAMELA v1 necesita de varios equi-pos externos, como un generador de señales, un osciloscopio y un computador ex-terno (PC) para poder cumplir su cometido. A su vez, el sistema se conecta a los transductores piezoeléctricos situados sobre una estructura sometida a monitoriza-ción. Mediante el generador de señales externo, se crean las señales ultrasónicas con las que se desea excitar los transductores piezoeléctricos. En este caso, dichas señales son analógicas de tipo sinusoidal de frecuencias en torno a los centenares de kilo-hercios (siempre por debajo de un megahercio) y con una amplitud máxima de quince voltios de pico. El generador de señales se conecta a PAMELA v1 mediante un cable coaxial que se une al conector BNC disponible en el equipo PAMELA v1.

Un PC conectado al sistema PAMELA v1 a través del puerto serie RS232 se encar-ga, en todo momento, de elegir el canal por el que se va a enviar la señal de excita-ción hacia los transductores. En el esquema ilustrado en la Figura 31, se ha escogi-do como ejemplo el canal número tres. La interfaz del equipo PAMELA v1 con los nueve cristales piezoeléctricos se realiza a través de sendos conectores BNC. El piezoeléctrico cuyo canal se encuentra selec-cionado como excitación en un momento dado (en la imagen, el número tres), es

PAMELA v1

Page 72: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

54 Luciano Casado Martínez

excitado con la señal proveniente del generador de señal, es decir, se utiliza como un sensor activo o actuador. El piezoeléctrico reacciona ante la señal eléctrica pro-duciendo una deformación que, al tratarse de señales alternas, varía rápidamente en el tiempo provocando una vibración en el cristal. Esta vibración se transmite a la estructura sobre la cual están fijados los piezoeléctricos y se propaga a través de ella en forma de ondas guiadas ultrasónicas superficiales. Las ondas ultrasónicas sufren múltiples rebotes en los límites y en los defectos del material, y retorna a los transductores piezoeléctricos. Cuando la vibración propagada por la superficie llega a un transductor, genera en él una serie de deformaciones variables en el tiempo, las cuales se traducen en una diferencia de potencial también variable entre los polos del piezoeléctrico (en otras palabras, convierte dichas vibraciones en señales eléctricas). Pese a que la ondas guiadas a través de la superficie llegan a todos los transductores, existiendo por lo tanto nueve señales distintas, sólo una de ellas es conducida en cada momento hacia el osciloscopio. En la Figura 31, las señales ultrasónicas son recogidas por el transductor número 5 y enviadas hacia el osciloscopio, que se conecta al equipo PAMELA v1 utilizando también un conector BNC. El osciloscopio representa gráfi-camente las señales ultrasónicas recibidas y se puede conectar al computador ex-terno para transferir las muestras de señales adquiridas. La elección de los canales de salida hacia los piezoeléctricos y de entrada desde los piezoeléctricos se realiza a través de un computador externo (PC) pudiendo tam-bién dejar los canales en alta impedancia en el caso en el que no se quieran enviar o recibir señales de ninguno de los piezoeléctricos (cuando el equipo se encuentra en stand-by a la espera de la orden de un nuevo ensayo). El sistema PAMELA v1 se ha desarrollado en un circuito impreso de cuatro capas que se representa en la Figura 32. En las capas externas se ha realizado el empla-zamiento de los componentes y el rutado de las señales, mientras que las capas in-ternas se han aprovechado para sendos planos de alimentación y referencia.

Figura 32 – Tarjeta electrónica del sistema PAMELA v1, donde se aprecian los componentes electró-nicos instalados y a ambos lados, las conexiones externas del sistema. El circuito impreso consta de cuatro capas, de las cuales las dos externas se utilizan para realizar el rutado y emplazamiento, y las capas internas para la distribución de la alimentación y referencia del circuito.

Page 73: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 55

El sistema PAMELA v1 se ha introducido en una caja de aluminio, en la cual se han mecanizado los frontales para dar cabida a los elementos de control y conexión ex-ternos (Figura 33). El sistema se alimenta a una tensión de 12 VDC utilizando un conversor AC/DC estándar, y se conecta con un generador de señales externo y con el osciloscopio mediante los conectores BNC.

Figura 33 – Caja de aluminio en la que se ha insertado el circuito impreso de PAMELA v1. Se aprecia la cara frontal de la caja, que presenta, de izquierda a derecha, el pulsador de reset, el conector de alimentación de 12 VDC, el LED indicador de alimentación, el conector de alimentación por USB, el conector BNC para el osciloscopio, el indicador de canal seleccionado para el osciloscopio, el puerto RS-232 de comunicaciones, el conector BNC para el generador de señal y el indicador de canal selec-cionado para el generador. El equipo PAMELA v1 ha sido de gran ayuda para poner en práctica la técnica de ondas guiadas ultrasónicas de una manera rápida y efectiva. El sistema permite poner en práctica los modos de inspección presentados en el apartado 4, aunque con ciertas limitaciones [Kearns et al. 2007]. Estas limitaciones vienen dadas por el propio fundamento del prototipo, que cumple únicamente la función de multi-plexor de canales, pudiendo utilizar únicamente un canal como excitación y otro como adquisición, y porque además necesita de otros equipos externos para cum-plir su cometido. Utilizando el sistema PAMELA v1, se han realizado diferentes tests de laboratorio que han permitido familiarizarse con la técnica de ondas guiadas ultrasónicas y que han servido de punto de partida para la creación de los sistemas más avanza-dos PAMELA v2 y PAMELA v3 que se presentarán, respectivamente, en el aparta-do 8 de este capítulo y en el capítulo IV. Para la configuración del equipo PAMELA v1 se ha realizado un software de HMI (Human Machine Interface) mediante el entorno de desarrollo Visual Studio .NET (Figura 34).

Page 74: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

56 Luciano Casado Martínez

Figura 34 – Interfaz gráfico de HMI realizado en Visual Studio .NET para la configuración del equipo PAMELA v1. El equipo PAMELA v1 se ha llegado incluso a probar en el año 2007 en una aero-nave Boeing de la compañía Air New Zealand, de cara a comprobar la aplicación del prototipo PAMELA v1 en aeronaves reales (Figura 35).

Figura 35 – (a) Mediante la circunferencia blanca se resalta el sistema PAMELA v1 de SHM durante unas pruebas en vuelo. (b) Esta vista muestra instalación de los transductores y el parche de material compuesto antes del pintado. (c) Tras el proceso de pintado de la estructura, con el conector unido de cara a la adquisición de datos [Kearns et al. 2007].

Page 75: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 57

7. Arquitectura electrónica propuesta para un sistema básico de SHM

Utilizando los conocimientos adquiridos hasta el momento sobre el SHM y la técni-ca de ondas guiadas ultrasónicas, se ha realizado una propuesta de arquitectura electrónica para un sistema básico de SHM basado en la utilización de transducto-res de material piezoeléctrico. El diseño de esta arquitectura electrónica se ha realizado, con la utilización aeron-áutica en mente, en el marco de la segunda fase del proyecto PAMELA (Phased Array Monitoring for Enhanced Life Assessment), en el que participan el Grupo de Diseño Electrónico de la Universidad del País Vasco, el Grupo de Investigación en Instrumentación y Acústica Aplicada de la Universidad Politécnica de Madrid (UPM) y la empresa AERnnova Engineering Solutions Ibérica S.A. La arquitectura planteada se ha desarrollado en un prototipo que se ha registrado con el nombre de PAMELA v2. Los objetivos generales de la arquitectura electrónica desarrollada en el sistema PAMELA v2 son mejorar la seguridad operacional de las estructuras aeroespaciales y reducir los costes de mantenimiento estructurales de las aeronaves. Sin embargo, la arquitectura electrónica planteada también puede ser utilizada, después de la pertinente adaptación, para realizar ensayos no destructivos en otro tipo de estruc-turas, como pueden ser submarinos, edificios, puentes, navíos, recipientes a pre-sión, tanques de petróleo, torres eólicas o tuberías. La arquitectura electrónica básica está formada por todos los dispositivos necesa-rios para realizar un sistema SHM basado en la técnica de ondas guiadas ultrasóni-cas. El sistema se conecta a un array lineal de doce transductores piezoeléctricos embebidos o adheridos a la estructura que se desea monitorizar. Al excitar los transductores con una señal de una frecuencia y forma determinada, se generan en la estructura unas ondas guiadas ultrasónicas, conocidas como ondas de Lamb. Estas ondas se propagan por la estructura y retornan a los transductores, que ad-quieren las señales para un posterior análisis de señal. Un procesado de señal ade-cuado permite determinar la posición de los posibles defectos. La arquitectura elec-trónica básica puede analizar tanto estructuras metálicas como estructuras de mate-rial compuesto, variando la frecuencia de las señales de excitación y el modelo de transductor piezoeléctrico elegido. A continuación se presenta el diseño de la arquitectura electrónica básica. El diseño de esta arquitectura ha sido realizado con la suite ofimática Cadence Allegro SPB v16.2, que incluye las herramientas de diseño electrónico Design Entry CIS y La-yout Plus.

7.1 Esquema general de la arquitectura electrónica básica

En la Figura 36 se muestra el esquema general de la arquitectura electrónica del sistema básico de SHM basado en la técnica de ondas guiadas ultrasónicas, y su conexión con los transductores piezoeléctricos y con otra serie de elementos.

Page 76: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

58 Luciano Casado Martínez

Figura 36 – Esquema general de la arquitectura electrónica básica. En el esquema se aprecian los módulos internos que forman parte del sistema. Las líneas continuas indican el camino que siguen las señales de excitación y adquisición, mientras que con líneas punteadas se representan las señales de control. El primer módulo necesario en un sistema de este tipo se trata del Módulo de Exci-tación, que genera las señales de excitación del sistema. La frecuencia y la fase de la señal de excitación deben ser configurables, por lo que se plantea su configuración desde el Módulo de Procesamiento. Por otra parte, es obligatorio un Módulo de Adaptación que reciba la señal de exci-tación y la multiplexe con uno de los doce transductores piezoeléctricos. El Módulo de Adaptación debe ser un módulo bidireccional, de tal modo que las señales ad-quiridas por los piezoeléctricos se demultiplexan en sentido inverso hacia el módu-lo que realiza la adquisición, denominado Módulo de Adquisición. Asimismo, el Módulo de Adaptación debe incluir una serie de protecciones necesarias para in-terconectar el sistema SHM con los transductores piezoeléctricos. El citado Módulo de Adquisición debe amplificar, filtrar y digitalizar las señales analógicas recibidas por los transductores y convertir las muestras resultantes en valores numéricos que puedan ser manipulados digitalmente por el Módulo de Procesamiento. Por último, el Módulo de Procesamiento debe ser el corazón del sistema. Idealmen-te, debe estar basado en un procesador digital de señal o DSP (del inglés, Digital Signal Processor), que realiza el procesado de las señales muestreadas y controla todos los módulos del sistema. Además, debe ser capaz de comunicarse con un

Doce transductores piezoeléctricos

Módulo de Excitación

Módulo de Procesamiento

Módulo de Adquisición

Módulo de Adaptación

Arquitectura electrónica básica

Ethernet

Page 77: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 59

equipo externo de control, por ejemplo, mediante una conexión Ethernet, compati-ble con la norma IEEE 802.3 [IEEE 1995].

7.2 Módulo de Excitación

Como se ha comentado en el apartado 2 de este mismo capítulo, una de las formas de onda frecuentemente utilizadas como señal de excitación en un sistema de SHM basado en ondas de Lamb, es una señal sinusoidal de N periodos, siendo N un número natural. El número de periodos, la fase, la frecuencia de la señal y el tiem-po de guarda entre ensayos consecutivos se deben poder configurar desde el Mó-dulo de Procesamiento. Variando el tiempo de guarda entre ensayos se pueden utilizar los mismos transductores para adquirir las señales que se han propagado por la estructura. En cada ensayo, la señal de excitación (Figura 37) se envía hacia un solo transduc-tor.

Figura 37 – La forma de onda utilizada para excitar un transductor piezoeléctrico. Al excitar un transductor con esta señal, la expansión y contracción mecánica del transductor genera las ondas de Lamb que se propagan por la estructura. A modo de ejemplo, se muestra una forma de onda de N = 4 periodos. En el Módulo de Excitación, se ha planteado la generación de la señal de excitación en varias etapas (Figura 38). En el prototipo PAMELA v2, para generar la señal si-nusoidal continua, se utiliza el chip AD9834 del fabricante Analog Devices Inc. [Analog Devices Inc. 2011]. El chip AD9834 se trata de un dispositivo DDS (del in-glés Direct Digital Synthesis) que genera señales sinusoidales con una resolución de 0,28 Hz y una frecuencia de actualización de 75 MHz. El comparador integrado proporciona una señal cuadrada (elemento 4 en Figura 38) en fase con la señal si-nusoidal (elemento 1 en Figura 38). El Módulo de Procesamiento configura los pa-rámetros del AD9834 utilizando un bus SPI (del inglés Serial Peripheral Interface) de alta velocidad (elemento 6 en Figura 38).

4 periodos Tiempo de guarda

Page 78: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

60 Luciano Casado Martínez

Figura 38 – Esquema de bloques funcional del Módulo de Excitación. Utilizando varias etapas, se consigue limitar la señal sinusoidal continua del dispositivo DDS a un número N finito de periodos. (1) Señal sinusoidal analógica continua. (2) Señal sinusoidal amplificada. (3) Señal sinusoidal enven-tanada de N periodos. (4) Señal cuadrada en fase con la señal sinusoidal continua. (5) Señal PWM (Pulse Wide Modulation) generada por el Módulo de Procesamiento. (6) Señales de configuración del dispositivo DDS. El dispositivo AD9834 utiliza un DAC (del inglés Digital-to-Analog Converter) con salida en intensidad para convertir la señal sinusoidal del dominio digital al domi-nio analógico. La salida del DAC suministra una señal de baja amplitud que es fil-trada anti-aliasing con un filtro paso-bajo de frecuencia de corte 1 MHz, para ser después amplificada hasta los 20 V pico-a-pico utilizando un amplificador opera-cional en configuración de amplificador restador (Figura 39). La precisión de las resistencias elegidas es del 1%, para asegurar la calidad de las señales.

Figura 39 – Configuración en amplificador restador, utilizada en la salida del DAC para amplificar la señal de excitación hasta los 20 V pico-a-pico. Incluye un filtro anti-aliasing de frecuencia de corte 1 MHz.

AD9834 R2

R2

R3

R3

VOUT DAC

Filtro anti-aliasing de 1 MHz

R1

R1

C1

Selección de señal DDS G

Módulo de Procesamiento

Hacia el transductor

5 4

2

3

6

1

Page 79: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 61

El amplificador operacional elegido para este propósito en el prototipo PAMELA v2 es el chip AD817 del fabricante Analog Devices Inc. Este chip puede amplificar señales analógicas de más de 450 kHz gracias a su producto ganancia por ancho de banda unidad de 50 MHz. Teniendo en cuenta que la capacidad equivalente de un transductor piezoeléctrico es del orden de 1,6 nF, el dispositivo AD817 es ideal para esta función, ya que está capacitado para manejar cargas capacitivas mayores de 1 nF, lo cual no es una característica común entre los amplificadores operacionales. El amplificador AD817 genera una señal sinusoidal continua de 20 V pico-a-pico antes de la etapa de Selección de Señal (Figura 38). La etapa de de Selección de Se-ñal permite el paso de sólo N periodos de la señal sinusoidal hacia la siguiente eta-pa utilizando un switch o conmutador analógico (Figura 40). El switch analógico se conmuta mediante una señal digital que se sincroniza con la señal sinusoidal conti-nua en el bloque denominado Sincronización.

Figura 40 – Bloque de Selección de Señal presente dentro del Módulo de Excitación. El Bloque de Selección de Señal sincroniza la salida digital del comparador del dispositivo DDS con la señal PWM, para con la señal sincronizada conmutar el switch analógico, limitando la señal sinusoidal continua a sólo N periodos. En la salida del bloque de Selección de Señal del Módulo de Excitación, se obtiene la señal sinusoidal deseada de N periodos. Las señales digitales y analógicas invo-lucradas en la generación de la señal sinusoidal de N periodos se muestran, en una captura de osciloscopio, en la Figura 41.

SINCRONIZACIÓN

Salida del compara-dor del DDS

Señal PWM

Switch

Page 80: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

62 Luciano Casado Martínez

Figura 41 – Señal sinusoidal continua (Sine Signal) que se limita a N periodos (N-cycle sine) utilizan-do un switch analógico conmutado mediante una señal cuadrada (PWM) sincronizada con la señal sinusoidal continua original. En la figura se aprecia un ejemplo, en el cual, el tiempo de guarda entre ensayos sucesivos es de 2 ms y el número de periodos N es de 9.

7.3 Módulo de Adaptación

Este módulo interconecta el Módulo de Excitación y el Módulo de Adquisición con los transductores piezoeléctricos. El Módulo de Adaptación debe ser capaz de transmitir la señal de excitación a uno de los doce transductores y tomar de un transductor la señal adquirida que ha sufrido múltiples reflexiones en la estructura, enviándola hacia el Módulo de Adquisición (Figura 42).

Figura 42 – Conexión entre el Módulo de Adaptación, el Módulo de Excitación, el Módulo de Adqui-sición y los transductores. El Módulo de Adaptación dirige la señal de excitación hacia uno de los transductores y recoge de otro transductor la señal que envía hacia el Módulo de Adquisición.

Módulo de Adaptación

Doce Transductores Piezoeléctricos

Módulo de Excitación

Módulo de Adquisición

Page 81: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 63

El Módulo de Adaptación se puede diseñar como un multiplexor y demultiplexor de doce canales, con circuitos de protección frente a sobre voltaje y aislamiento pa-ra cada canal, como se muestra en la Figura 43. Las funciones de multiplexado y demultiplexado se pueden realizar mediante swit-ches analógicos de baja RON (menor de 5 Ω). Para ello, en el prototipo PAMELA v2, se han elegido los switches ADG452 fabricandos por la compañía Analog Devices Inc. El Módulo de Procesamiento debe configurar el estado de cada uno de los switches para habilitar las funciones de multiplexación y demultiplexación. En ca-da test, sólo pueden estar seleccionados un canal como excitación y otro como ad-quisición, si bien se puede utilizar el mismo canal como excitación y adquisición simultáneamente.

Figura 43 – Esquema de bloques del Módulo de Adaptación. Está formado por un multiplexor y demultiplexor de doce canales y tres circuitos de protección en cada uno de los doce canales: un descargador de gas, un transformador de relación 1:1 y un Transient Voltage Supressor o TVS. Como los transductores se fijan sobre una estructura que se encuentra en contacto permanente con el entorno, en el equipo se pueden introducir señales perjudiciales que pueden llegar a dañarlo. Por ello, el Módulo de Adaptación debe incorporar, para cada canal, varios dispositivos para proteger el sistema frente a las señales perjudiciales. Por una parte, en cada canal se puede disponer de un transformador toroidal de relación 1:1 que proporcione aislamiento hasta 4 kV rms. El dispositivo seleccionado para esta función en PAMELA v2 ha sido el transformador 78250MC del fabricante Murata Power Solutions [Murata Power Solutions Inc. 2011]. En cada canal se debe disponer, además, de un descargador de gas que protege el sistema frente a tensiones de gran amplitud y pequeña duración, y un TVS (del inglés, Transient Voltage Suppressor) que protege el sistema SHM frente a señales de du-ración media y amplitud mayor de 30 V pico-a-pico.

Transforma-dor 1:1

TVS

PZT

Transforma-dor 1:1

TVS

PZT

Transforma-dor 1:1

TVS

PZT

Multiplexor y demultiplexor de 12 canales

Módulo de Adaptación

Descarga-dor de gas

Descarga-dor de gas

Descarga-dor de gas

Page 82: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

64 Luciano Casado Martínez

7.4 Módulo de Adquisición

Este módulo debe digitalizar la señal de excitación y la señal adquirida de un transductor piezoeléctrico para poder posteriormente compararlas. Antes de la di-gitalización, el Módulo de Adquisición se encarga de acondicionar ambas señales, para asegurar una óptima digitalización. Después del acondicionamiento de señal, ambas señales analógicas se convierten al dominio digital mediante dos ADC (del inglés, Analog to Digital Converter) de 12 bits y 3 MSPS (del inglés, Mega Samples per Second). El Módulo de Procesamiento recibe las señales digitalizadas, para un posterior procesado (Figura 44).

Figura 44 – Proceso de acondicionamiento y digitalización de las señales dentro del Módulo de Ad-quisición. Las señales muestreadas se envían al Módulo de Procesamiento para un posterior análisis. Cada una de las señales se puede acondicionar en tres etapas diferentes, mostradas en la Figura 45. En primer lugar, las señales se amplifican con una ganancia G con-figurable linealmente desde el Módulo de Procesamiento, con 16 valores de ganan-cia entre 1 y 38. A continuación, cada señal se filtra utilizando un filtro paso-bajo que tiene una frecuencia de corte de 500 kHz, para evitar la aparición de aliasing en el proceso de conversión. Las señales filtradas, de tipo single-ended, se convierten a differential-ended mediante un amplificador diferencial, que además funciona co-mo driver del ADC.

Figura 45 – Etapas de acondicionamiento para cada canal. La señal se amplifica, se filtra en el low-pass filter, y se convierte de single-ended a differential-ended (1/2) antes de transmitirse al Analog to Digital Converter o ADC. En el prototipo PAMELA v2 se ha elegido el chip THS1206 del fabricante Texas Instruments Inc. [Texas Instruments 2011], que contiene dos ADC encargados de conviertir dos señales analógicas al dominio digital, a una frecuencia de muestreo de 3 MSPS para cada canal. Este chip dispone de una memoria FIFO (del inglés, First In First Out) integrada de 16 palabras, que almacena las muestras de las seña-les para evitar sobrecargar al procesador que se se encuentra conectado al ADC.

Low-pass filter

Señal de entrada

Señal de salida hacia el ADC G 1/2

Módulo de Adquisición

Módulo de Procesamiento

Datos digitales Señal de

excitación

Señal adquirida

Conversores Analógico a

Digital

Acondicionamiento de señal

Acondicionamiento de señal

Page 83: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 65

Cuando se escriben en la FIFO un número determinado de muestras, el chip THS1206 interrumpe al Módulo de Procesamiento para que recoja y almacene las muestras, hasta que se completa el proceso de muestreo.

7.5 Módulo de Procesamiento

El Módulo de Procesamiento realiza el procesado de las señales digitalizadas por el Módulo de Adquisición y controla el resto de módulos del prototipo PAMELA v2.

El componente principal del Módulo de Procesamiento debe ser preferentemente un procesador digital de señal o DSP (del inglés Digital Signal Processor). En PAMELA v2, se ha escogido el DSP Blackfin 537 del fabricante Analog Devices Inc. Este chip funciona a una frecuencia de 600 MHz y tiene una MAC (del inglés, Me-dia Access Controller) Ethernet integrada que soporta los modos de operación 10-BaseT y 100-BaseT, y que es totalmente compatible con el estándar IEEE 802.3 [IEEE 1995]. El Módulo de Procesamiento incluye dos tipos de memorias, además del DSP: 32 megabytes de memoria volátil DRAM (del inglés, Dynamic Random Access Memory) y 4 megabytes de memoria Flash no volátil. En la Figura 46 se representan las conexiones internas y externas del Módulo de Procesamiento.

Figura 46 – Conexiones internas y externas del Módulo de Procesamiento. Utiliza el bus SPI para configurar el Módulo de Excitación, el bus de datos del DSP para recibir las señales muestreadas por el Módulo de Adquisición, y otros pines de entrada y salida para controlar el resto de módulos del sistema. El Módulo de Procesamiento debe ser capaz de comunicarse con todos los módulos utilizando los interfaces disponibles. Por una parte, utiliza el bus de datos bidirec-cional del DSP para la conexión con los ADC del Módulo de Adquisición, mientras que utiliza un bus SPI para configurar los parámetros del Módulo de Excitación, y

Módulo de Procesamiento

Módulo de Adquisición

Bus de datos

Módulo de Excitación

SPI Otros Módulos

Mux

Ethernet

Page 84: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

66 Luciano Casado Martínez

diversos pines de entrada y salida para controlar otros módulos. Por último, utiliza el MAC Ethernet para comunicarse con computadores externos de control.

8. Desarrollo del sistema prototipo demostrador

En base a la arquitectura electrónica básica planteada en el apartado anterior, se ha desarrollado un prototipo demostrador, denominado PAMELA v2. Este prototipo se ha desarrollado sobre un circuito impreso o PCB (del inglés Printed Circuit Bo-ard), según se muestra en la Figura 47. El PCB se ha realizado a cuatro capas, utili-zando las dos capas internas como planos de alimentación y referencia, y las exter-nas para realizar el emplazamiento de los componentes y el rutado de las pistas de señal.

Figura 47 – Vista superior del PCB de PAMELA v2 con todos los componentes electrónicos y mecá-nicos instalados. En los extremos se aprecian los conectores, interruptores y señales luminosas nece-sarias. El sistema totalmente ensamblado (Figura 48) tiene unas dimensiones aproximadas de 45 mm por 195 mm y por 110 mm, con un peso de 455 gramos (caja de aluminio incluida), que lo hace adecuado para embarcarlo en una aeronave. El equipo PAMELA v2 se conecta a un array lineal de doce transductores piezo-eléctricos mediante un conector cilíndrico que cumple la norma MIL-DTL-38999. El conector tiene 26 pines separados en parejas, un par para cada transductor, dejando un último par de conexiones para detectar la correcta conexión entre el cable y el equipo PAMELA v2. Para realizar la conexión entre el equipo y los transductores se utiliza un cable de doce pares de hilos trenzados y apantallados (STP o shielded twisted pair). El array de doce transductores se monta sobre un adaptador, y todos los transductores se adhieren a la estructura utilizando un pegamento epoxi.

Page 85: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 67

Figura 48 – Parte trasera de la caja de aluminio en la que se introduce el PCB del hardware PAMELA v2. Los diodos LED (del inglés Light Emitting Diode) en la parte izquierda indican el canal de entra-da y de salida seleccionados en cada instante, mientras que el conector cilíndrico se utiliza para unir PAMELA v2 con los transductores piezoeléctricos.

9. Pruebas realizadas

En colaboración con la empresa AERnnova, se han llevado a cabo una serie de tests de laboratorio utilizando el prototipo PAMELA v2.

Figura 49 – Pruebas realizadas con el hardware PAMELA v2. Se instaló una estructura metálica en una máquina que la sometió a cargas de tracción, y se utilizó un ordenador portátil para procesar las señales recibidas y poner en práctica los algoritmos de SHM. Los tests demostraron que PAMELA v2 cumple su cometido a la perfección. Imágenes cortesía de AERnnova Engineering Solutions Ibérica S.A.

Structure under test

PZT cable

PZT cable

PAMELA v2

Page 86: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

68 Luciano Casado Martínez

En concreto, se han realizado pruebas de carga en una máquina de tracción de un eje, utilizando trozos de aluminio y de material compuesto CFRP (carbon fiber-reinforced polymer), que representan estructuras reales de aeronaves que la com-pañía AERnnova fabrica en la actualidad. En la Figura 49 se puede apreciar el mon-taje de una de las pruebas, en la cual una estructura de aluminio se ha dispuesto en la máquina de tracción. Sobre la estructura se adhirieron arrays lineales de doce transductores piezoeléctri-cos, uno en cada sector de inspección, con una separación entre transductores de 9 mm, y utilizando un pegamento epoxi especial. Durante los tests se sometió a las muestras de material a fuerzas de tracción alternas y se realizó la monitorización de integridad estructural. Las señales recibidas por los transductores fueron mues-treadas por el prototipo PAMELA v2 y se enviaron a un ordenador portátil utili-zando el enlace Ethernet. El procesado digital de señal y los algoritmos de SHM se pusieron en práctica en el ordenador portátil, haciendo uso de unas herramientas especialmente desarrolladas para configurar los parámetros de excitación y adqui-sición del equipo (Figura 50) y un firmware interno del prototipo PAMELA v2. El firmware del equipo se ha desarrollado utilizando la herramienta Visual DSP++ 4.5 de Analog Devices, mientras que las herramientas de configuración y adquisición del PC se ha diseñado sobre el entorno Visual Studio .NET.

Figura 50 – La interfaz gráfica de usuario, que permite configurar los parámetros de excitación y adquisición del hardware PAMELA v2. En el ejemplo, se ha configurado el equipo para realizar un análisis round-robin de 3×3, con selección automática de ganancia dependiendo del canal de excita-ción y adquisición elegidos. La señal de excitación utilizada presentaba una frecuencia de 315 kHz y 3 periodos.

Page 87: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 69

Durante las pruebas de laboratorio, para cada carga aplicada al material, se adqui-rieron las señales ultrasónicas utilizando el hardware PAMELA v2, para ser des-pués procesadas y obtener los correspondientes mapas SHM. Estos mapas SHM permiten detectar y comparar el efecto de cada una de las cargas a las que se some-tió la estructura. Se ha utilizado una aplicación programada en LabVIEW para re-presentar las matrices de señales ultrasónicas digitalizadas. En la Figura 51 se re-presenta un ejemplo de las señales adquiridas durante un análisis round-robin.

Figura 51 – Representación gráfica en LabVIEW de las señales adquiridas utilizando doce canales de excitación/adquisición durante un análisis round-robin. En la figura sólo se muestran las primeras 3×3 señales de un análisis round-robin de 12×12 posibles. En la Figura 52 se muestra la ampliación de una de las imágenes adquiridas.

Figura 52 – Representación gráfica en LabVIEW de la ampliación de una de las señales adquiridas durante los tests de laboratorio. Se observan los típicos trenes de ondas de Lamb que corresponden a las reflexiones sufridas por las ondas en los límites de la estructura. Las etapas de post-procesado están actualmente implementadas en un computador externo, que se conecta al prototipo PAMELA v2 para proporcionar un medio de

Page 88: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

70 Luciano Casado Martínez

visualización y capacidad de análisis SHM para las señales adquiridas. No obstan-te, el procesado de señal de SHM se puede implementar en el DSP integrado en PAMELA v2. De esta forma, se consigue que el hardware PAMELA v2 pueda fun-cionar de manera autónoma, automática y en tiempo real, y que el tiempo de pro-cesado se vea reducido, al eliminar la fase de transmisión de datos al computador externo.

Figura 53 – Análisis espectral de las señales adquiridas en un análisis round-robin de 3×3. Se ha uti-lizado la FFT unidimensional para obtener la componente frecuencial máxima de cada señal. En la figura se exponen únicamente las primeras 3×3 señales de un análisis round-robin de 12×12.

Figura 54 – Filtros de señal (hasta cinco en cascada) aplicados a un grupo de 3×3 señales cogidas de un análisis round-robin de 12×12. Se han aplicado filtros de Butterworth, Chebychev, Bessel, etc. a las señales para eliminar las componentes frecuenciales no deseadas.

Page 89: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 71

Las etapas de post-procesado disponibles incluyen el análisis espectral (Figura 53) utilizando transformadas de Fourier (Figura 53) mediante FFT (del inglés, Fast Fourier Transform), filtrado de señal (Figura 54) y el análisis tiempo-frecuencial basado en la transformada wavelet, que permite extraer las componentes frecuen-ciales de las señales para identificar elementos de interés. La transformada wavelet [Legendre et al. 2000] es un operador computacionalmente eficiente que proporcio-na una representación compacta de la señal en los dominios del tiempo y de la fre-cuencia.

10. Difusión

Alrededor de la arquitectura electrónica básica de SHM basada en la técnica de on-das guiadas ultrasónicas planteada en los apartados anteriores, se ha realizado una solicitud de patente en la oficina española de patentes [Cokonaj et al. 2010], con el número de registro ES2010/00242. Esta patente se encuentra actualmente en revi-sión. Asimismo, sobre la misma arquitectura, se ha redactado un artículo que se ha en-viado a la revista Structural Health Monitoring. An International Journal, con un índi-ce de impacto de 2,068. En el artículo se plantea la arquitectura electrónica del hardware PAMELA v2 y se muestran algunos resultados de ensayos.

11. Resumen

En este capítulo se han descrito los fundamentos de la técnica de ondas guiadas ultrasónicas, analizando el fundamento teórico de las ondas elásticas y sus modos de propagación para el caso de estructuras de pared delgada. Asimismo, se ha pre-sentado el principio de funcionamiento de los transductores piezoeléctricos, ele-mentos elegidos para transmitir a la estructura las ondas guiadas ultrasónicas. Se ha hecho hincapié en las características mecánicas y eléctricas de los transductores piezoeléctricos, así como en las diferentes geometrías disponibles para disponer los transductores en el material sometido a análisis. Además, se han planteado las téc-nicas de análisis básicas mediante ondas de Lamb y los escenarios de aplicación del sistema SHM basado en ondas de Lamb. Por otra parte, se ha presentado el primer sistema electrónico desarrollado durante la investigación, de nombre PAMELA v1, que siendo un sistema elemental, ha ser-vido de punto de partida para poner en práctica la técnica de ondas guiadas ul-trasónicas de una manera rápida y efectiva. El sistema se conecta a otros equipos electrónicos, como son un generador de señales ultrasónicas, un osciloscopio para adquisición de señal y un computador externo de control. Mediante las pruebas de laboratorio realizadas con PAMELA v1, se han podido comprobar los fenómenos físicos descritos en los primeros apartados de este capítulo y se ha podido analizar el funcionamiento de un sistema de este tipo.

Page 90: Nuevas Aportaciones a las Tecnologías Electrónicas para la

III. Técnicas y sistemas básicos para SHM

72 Luciano Casado Martínez

A continuación, se ha planteado una arquitectura electrónica básica, más avanzada que la implementada en PAMELA v1, basada en la técnica de ondas guiadas ul-trasónicas u ondas de Lamb, y se ha construido un prototipo que ha sido sometido a tests de laboratorio, denominado PAMELA v2. Su bajo peso y reducido volumen lo hacen adecuado para su utilización en el campo aeroespacial. El sistema integra todos los módulos necesarios: un Módulo de Excitación, un Módulo de Adquisi-ción, un Módulo de Procesamiento, y un Módulo de Adaptación. Se ha discutido el diseño de cada módulo mostrando cómo se han cumplido los requerimientos es-pecíficos de cada módulo. Con el equipo PAMELA v2 se han realizado pruebas en laboratorio utilizando es-tructuras metálicas y de material compuesto (CFRP), en las cuales se han adherido arrays lineales de doce transductores piezoeléctricos. Las señales adquiridas duran-te los tests se han digitalizado y enviado a un ordenador portátil, donde se ha reali-zado una representación, post-procesado y análisis correspondiente. Mediante es-tas pruebas se ha demostrado el correcto funcionamiento del sistema para la moni-torización de integridad estructural. Actualmente, las etapas de post-procesado y análisis SHM se realizan en un equipo externo conectado a PAMELA v2. No obstante, ambas tareas se pueden realizar en el DSP integrado en el hardware PAMELA v2, convirtiendo PAMELA v2 en un sistema autónomo, automático y con posibilidad de funcionar en tiempo real. Alrededor de la arquitectura electrónica plasmada en PAMELA v2 se han realizado una patente y un artículo. La patente con número de serie ES 2010/00242, persigue proteger la arquitectura electrónica básica presentada en este capítulo. Además, se ha enviado un artículo a la revista Structural Health Monitoring. An International Journal, que se encuentra actualmente en revisión. En el artículo se ha planteado la arquitectura electrónica del hardware PAMELA v2 y se muestran algunos resulta-dos de ensayos.

Page 91: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 73

IV. Técnicas y sistemas avanzados para SHM

En el capítulo anterior se ha introducido la técnica de ondas guiadas ultrasónicas u ondas de Lamb y las características de las ondas elásticas, así como las propiedades de los transductores piezoeléctricos utilizados, las técnicas básicas de análisis y los escenarios de aplicación de un sistema SHM de este tipo. Con el objetivo de fami-liarizarse con la técnica de ondas guiadas ultrasónicas, se construyó un primer pro-totipo elemental denominado PAMELA v1, con el que se realizaron diferentes pruebas. Estas pruebas sirvieron para concretar la arquitectura electrónica necesa-ria en un sistema básico de SHM basado en las ondas de Lamb, dando lugar a un segundo prototipo denominado PAMELA v2. Con el objetivo de mejorar las capacidades de detección de defectos de la anterior arquitectura electrónica básica, en el presente capítulo se analizará el fundamento de las técnicas avanzadas basadas en el método de ondas guiadas ultrasónicas, así como sus necesidades en cuanto a electrónica se refiere. Estas necesidades se han plasmado en una arquitectura electrónica avanzada de gran capacidad, a partir de la cual se ha desarrollado un tercer prototipo denominado PAMELA v3. Al igual que ocurre con la arquitectura electrónica básica, el objetivo principal de la arquitectura electrónica avanzada es automatizar la monitorización de integridad estructural de las aeronaves utilizando un equipamiento diseñado específicamente para esta tarea, así como mejorar la seguridad operacional de las estructuras aeron-áuticas y reducir los costes de mantenimiento estructurales de las mismas. No obs-tante, la arquitectura electrónica avanzada también se puede utilizar, tras la perti-nente adaptación, para testear otro tipo de estructuras, incluyendo submarinos, edificios, puentes, navíos, recipientes a presión, tanques de petróleo, torres eólicas (Figura 55) o tuberías.

Figura 55 – Destrucción de una torre eólica, en la que ésta ha perdido la funcionalidad de sus tres palas debido a la ruptura de las mismas. Según parece, la ruptura se debió a las delaminaciones pro-ducidas por las inclemencias del tiempo, en concreto, por el impacto de granizo y de rayos eléctricos [Lehmann et al. 2006].

Page 92: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

74 Luciano Casado Martínez

A continuación se presentan las técnicas de análisis avanzadas que se contemplan en la arquitectura electrónica avanzada de SHM, no siendo las únicas que la arqui-tectura es capaz de poner en práctica, gracias a la gran flexibilidad de la que se ha dotado la arquitectura, que se mostrará en el apartado 2.

1. Técnicas de análisis avanzado con ondas de Lamb

En la nueva arquitectura avanzada, además de los ensayos realizables con la ante-rior arquitectura (modos de inspección básicos y análisis round-robin), se ha plan-teado la posibilidad de poner en práctica otra serie de técnicas de análisis complejas y de auto-diagnóstico del sistema, como por ejemplo:

• Análisis de integridad de transductores.

• Análisis beamforming durante la excitación.

• Análisis beamforming durante la recepción.

• Análisis beamforming completo.

• Time reversal.

• Algoritmo de autoenfoque.

• Algoritmos para campo cercano.

• Modo Pitch-catch con múltiples equipos.

• Detección de impactos. El diseño de la arquitectura avanzada se ha dotado de la flexibilidad suficiente para permitir utilizar todas estas técnicas sin tener que hacer cambios en el sistema SHM, salvo los cambios de configuración software pertinentes. Asimismo, gracias a la flexibilidad comentada, las técnicas que se van a plantear no están exentas de posibles variaciones o mejoras, así como de la posibilidad de que la misma arqui-tectura pueda ejecutar otras técnicas avanzadas no comentadas en este apartado. A continuación, se realiza una pequeña introducción a cada una las técnicas y se enlaza el fundamento de cada técnica con una breve notación sobre las característi-cas necesarias dentro de la arquitectura electrónica avanzada.

1.1 Análisis de integridad de transductores

Antes de realizar cualquier análisis SHM, es conveniente determinar el correcto estado de los transductores piezoeléctricos adheridos o embebidos en la estructura a monitorizar [Giurgiutiu et al. 2000]. Los transductores realizados con materiales piezoeléctricos como el titanato zirconato de plomo (PZT), son relativamente frági-les y su resistencia frente a cargas externas y a entornos severos no está plenamente asegurada. Existen dos tipos de fallos que son susceptibles de ocurrir: el despegado de los transductores y su rotura.

Page 93: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 75

Tras una correcta instalación, se considera que los transductores se encuentran per-fectamente adheridos a la estructura. Sin embargo, en aplicaciones de campo, la adhesión de los transductores se deteriora con el tiempo y el transductor se puede llegar a romper debido a cargas externas [Lee et al. 2010a]. La principal preocupa-ción radica en que el deterioro de los transductores piezoeléctricos puede compro-meter directamente la respuesta mecánica del sistema, pudiendo provocar que el sistema SHM comience a generar falsas alarmas. Por ejemplo, el despegado de un transductor piezoeléctrico de titanato zirconato de plomo, provoca un desplaza-miento de la frecuencia de resonancia [Saint-Pierre et al. 1996, Giurgiutiu et al. 2002b]. Con el objeto de monitorizar la integridad de los transductores, se puede utilizar un sistema de autodiagnóstico en el cual se mide la parte reactiva de la impedancia (admitancia) de cada transductor en un amplio rango de frecuencias. Esta técnica se aplica con gran éxito debido a que los sensores activos de tipo piezoeléctrico son predominantemente elementos capacitivos dominados por la parte reactiva de la impedancia electromecánica [Park et al. 2003]. La forma en la que se adhieran a la estructura los transductores piezoeléctricos hace que la medida de la impedancia electromecánica cambie en gran medida [Bhalla et al. 2004]. El análisis de integridad de los transductores se realiza compa-rando la curva de impedancia reactiva con curvas modelo de impedancia para transductores sin defectos. De esta forma, monitorizando los cambios en la parte imaginaria de la impedancia electromecánica de los transductores, se puede detec-tar cuándo, por ejemplo, un transductor ha dejado de estar perfectamente pegado a la estructura que se encarga de monitorizar [Park et al. 2009]. Para un transductor aislado y no instalado, la curva de impedancia electromecánica se obtiene excitándolo con señales de alta frecuencia, en un amplio rango de fre-cuencias. No obstante, en el caso de transductores adheridos a una estructura, al aplicar este método, la respuesta extraída de los transductores piezoeléctricos se encuentra acoplada con la respuesta mecánica de la estructura sobre la que se insta-lan. Por ello, en este caso es necesario un procesado adicional para mejorar la fiabi-lidad en el auto-diagnóstico de los transductores. En la arquitectura electrónica que se planteará en este capítulo, dicho procesamiento se lleva a cabo dentro del Módulo de Procesamiento y Control, que se mostrará en el apartado 7. No obstante, en los últimos años han aparecido otra serie de técnicas basadas en el método de time reversal, que permiten realizar el auto-diagnóstico de los piezo-cerámicos [Lee et al. 2010b]. El valor de admitancia de los transductores depende de la temperatura. Sin embargo, el método basado en time reversal consigue mejo-res resultados debido a que presenta un mayor aislamiento frente a las variaciones de temperatura. La técnica de time reversal se plantea en el apartado 1.5, por lo que su fundamento se detallará más adelante. Tanto la técnica de medida de impedancia como el método basado en time reversal están contemplados dentro de la arquitectura electrónica avanzada que se plante-ará en el apartado 2.

Page 94: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

76 Luciano Casado Martínez

1.2 Análisis beamforming durante la excitación

En general, el análisis beamforming se trata de una técnica de procesado de señal basada en la utilización de un array de transductores para lograr una transmisión o recepción direccional de las señales. Esta selectividad espacial se consigue utilizan-do la generación de haces, que se logra al excitar varios elementos de un array con señales similares pero desfasadas. La mejora en la transmisión/recepción de señal se conoce como la ganancia de transmisión/recepción del dispositivo beamformer. El algoritmo de beamforming varía dependiendo de si los defectos que se desean detectar se encuentran cerca o lejos del array de transductores. Para ello, el algo-ritmo a utilizar debe tener en cuenta las teorías de antenas sobre campo cercano (near-field) y campo lejano (far-field) [Giurgiutiu et al. 2009]. Según la suposición de campo cercano, éste puede definirse como la región anular que cumple la condi-ción:

λλ

23 262.0

DR

DCerca

⋅<≤⋅ Ecuación 16

mientras que la suposición de campo lejano, define una región circular de radio mayor que:

λ

22 DRLejos

⋅≥ Ecuación 17

donde D es la máxima apertura del array y λ es la longitud de onda de la señal de excitación. Por debajo de la zona definida para RCerca existe una zona denominada “muy cercana”, en la que se deben utilizar otros métodos para la detección de da-ños estructurales, como la medida de la impedancia electromecánica. En este subapartado se presenta la técnica de beamforming aplicada a la excitación de señales, para el caso de campo lejano. En el subapartado 1.3 se mostrará la técni-ca homónima en recepción, también en campo lejano. En el apartado 1.7 se comen-tarán los algoritmos y variaciones sobre el método beamforming existentes para el caso de campo cercano y muy cercano. El razonamiento de la técnica beamforming en transmisión para campo lejano se basa en la utilización de N transductores, a los cuales se les aplica una señal eléctri-ca desfasada entre ellos, produciendo cada uno de ellos una onda que se toma, para los cálculos, como un rayo. Los rayos así generados se suponen paralelos aplicando la teoría de campo lejano (Figura 56).

Page 95: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 77

Figura 56 – Señales generadas para campo lejano en la técnica de beamforming, utilizando un array de N transductores de tipo piezoeléctrico [Aernnova Engineering Solutions Ibérica S.A. 2011a]. Suponiendo una separación d entre los elementos piezoeléctricos, la distancia entre un piezocerámico y un punto reflector genérico P de la estructura de pared delga-da, será distinta para cada uno de los transductores. Si se coge, por ejemplo, el transductor piezoeléctrico nº 1, que está situado a una distancia r del punto P, el piezoeléctrico con el número m estará situado a una distancia menor del punto P, en un factor m·(d·cosø). Si todos los transductores piezoeléctricos se activan en el mismo instante, la señal del transductor m llegará más rápido al punto P debido a la diferencia temporal )(φδ m , que es igual a:

)cos()( φφδc

dmm = Ecuación 18

donde c es la velocidad de propagación de la onda elástica en la estructura. En el caso de que los actuadores piezoeléctricos no sean activados simultáneamente, sino con unos retrasos temporales m∆ , para m = 0, 1, 2,..., N-1, entonces la señal total recibida en el punto P será igual a:

∑−

=

∆−+−=1

0

))((1

)(N

mmmTP c

rts

rts φδ Ecuación 19

Page 96: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

78 Luciano Casado Martínez

donde 1/r representa el decrecimiento en la amplitud de la onda debido a la radia-ción omnidireccional en dos dimensiones, y r/c es el retardo debido a la distancia que debe viajar la onda desde el transductor de referencia (m = 0) y el punto P. La técnica de beamforming aplicada a la transmisión con un ángulo Φ0 mediante un array de N transductores omnidireccionales, se basa en los principios de la in-terferencia constructiva de los rayos paralelos generados por los N elementos que forman el array. En este caso concreto, la forma más sencilla de obtener una interfe-rencia constructiva es hacer que )(φδ ∆⋅= mm , de modo que la Ecuación 19 queda:

)(1

)(c

rts

rMts TP −= Ecuación 20

es decir, un incremento en M = √N veces de la señal recibida con respecto al caso de un único transductor. Esto nos lleva directamente hasta el principio de beam-forming. Teniendo en cuenta la Ecuación 18 y sabiendo que:

)cos()( φφc

dmm =∆ Ecuación 21

entonces, la interferencia constructiva se produce cuando cos(Φ) = cos(Φ0), o lo que es lo mismo, cuando Φ = Φ0 y Φ = -Φ0. Por consiguiente, la formación de un haz (beam) con ángulos Φ0 y -Φ0 se consigue introduciendo retardos en la activación de cada uno de los transductores que for-man el array. La ganancia total de transmisión en este caso es de M = √N. En el prototipo PAMELA v3 que se presentará en el apartado 13, que está basado en la arquitectura electrónica planteada en el apartado 2 de este capítulo, se utilizan los N = 12 transductores para lograr una generación de haces que permite enfocar las señales transmitidas en un objetivo (Figura 57). Para ello, el Módulo de Excita-ción del sistema

Page 97: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 79

Figura 57 – Aplicación de la técnica de beamforming en transmisión utilizando un array de doce transductores piezoeléctricos situados en un adaptador, variando el ángulo α del haz de transmisión. Se observan los lóbulos laterales que también participan en el proceso de transmisión.

1.3 Análisis beamforming durante la recepción

El análisis beamforming en recepción se conoce también como Delay-Sum Beam-forming o Time-Delay Beamforming.

Figura 58 – Procedimiento de suma de las señales adquiridas, aplicando un retraso determinado a cada una de las señales. El retraso a aplicar para enfocar las ondas recibidas en una dirección concre-ta se determina utilizando la correlación cruzada entre señales.

Estructura metálica o de material compuesto

Doce transductores situados debajo de un adaptador.

α

Sistema SHM Avanzado

Page 98: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

80 Luciano Casado Martínez

El análisis beamforming en recepción se basa en el mismo principio que el de transmisión. No obstante, en este caso el procedimiento consiste en realizar la suma coherente de las señales adquiridas por un array de transductores, introduciendo un retardo controlado en dichas señales (Figura 58). Esta técnica se conoce también como Time-delay focusing y se basa en la utilización de un array de transductores. En la actualidad, se puede distinguir entre dos tipos de beamforming ultrasónico en recepción [Brunner 2002]: el beamforming analógi-co (ABF o Analog Beamforming) y el beamforming digital (DBF o Digital Beam-forming). En ambos casos, los frentes de ondas reflejados por una fuente acústica (por ejemplo, un defecto) se adquieren por cada uno de los elementos del array, se alinean en el tiempo y se suman coherentemente, lo que proporciona una ganancia de procesado espacial debido a que el ruido de los canales no tiene correlación. En ABF, las imágenes de la estructura se forman como una secuencia de niveles analó-gicos, que se retrasan utilizando líneas analógicas de retraso, y que se suman y se convierten al dominio digital (Figura 59) utilizando un conversor ADC.

Figura 59 – Beamforming analógico en recepción (ABF), donde se aplican retrasos variables a cada una de las señales analógicas adquiridas por el array de transductores, para después realizar un sumatorio de todas ellas y lograr una respuesta de mayor amplitud [Brunner 2002]. Por el contrario, en DBF los niveles analógicos de las señales se digitalizan lo más cerca posible de los transductores, almacenando los valores en una memoria (FIFO) y después sumándolos digitalmente (Figura 60). Se debe tener en cuenta que en ABF tan sólo es necesario un convertidor analógico a digital, mientras que en DBF son necesarios tantos dispositivos ADC como cana-les.

Punto focal Array Retrasos variables

Señal de salida

Sumador analógico

ADC

Page 99: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 81

Figura 60 – Beamforming digital en recepción (DBF), donde se aplican retrasos variables digitales a cada una de las señales adquiridas y digitalizadas, para después realizar un sumatorio digital de todas ellas y lograr una respuesta de mayor amplitud [Brunner 2002]. Los principios del beamforming de recepción son recíprocos respecto a los utiliza-dos en el beamforming de transmisión. Suponiendo un punto P, que es una fuente omnidireccional situada en un ángulo Φ0 dado, entonces las señales recibidas lle-garán al transductor m antes que al resto de transductores en un valor:

)cos()( φφc

dmm =∆ Ecuación 22

Por tanto, se pueden sincronizar las señales recibidas en los N sensores retrasándo-las una cantidad de tiempo:

)cos()( 00 φφδc

dmm = Ecuación 23

En cualquier caso, es necesario un algoritmo de correlación cruzada para estimar el retraso entre las señales adquiridas por transductores vecinos. Los retrasos calcula-dos se utilizan para enfocar la detección en la fuente que genera los frentes de on-das [Flax et al. 1988, O'Donnell et al. 1988]. La fuente puede ser un elemento pasivo, como por ejemplo, un objetivo que refleja una onda incidente que ha sido enviada previamente (por ejemplo, un defecto). En la técnica de correlación cruzada existe una suposición implícita, por la cual se presupone que todos los efectos presentes en un material no homogéneo se reducen a que el medio sólo modifica el retraso de propagación entre las señales que llegan a los transductores. Sin embargo, esta hipótesis es muy restrictiva. En medios no homogéneos, como estructuras metálicas complejas o materiales compuestos con formas y refuerzos diversos (con los que se construye actualmente gran parte del fuselaje de una aeronave), las ondas que se propagan por el medio no sólo sufren retrasos entre ellas, sino que su forma también cambia espacial y temporalmente y

Punto focal Array Retrasos variables

Señal de salida

Sumador digital

FIFO ADC

FIFO ADC

FIFO ADC

FIFO ADC

FIFO ADC

FIFO ADC

FIFO ADC

Reloj de conversión

Page 100: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

82 Luciano Casado Martínez

se distorsiona debido a efectos de refracción, difracción y/o dispersión de las on-das. En estas situaciones, se necesita una aproximación más general, basada en un proceso de time reversal, que tiene en cuenta toda la información recogida del me-dio, como las características de retrasos y las variaciones en la forma de las señales.

1.4 Análisis beamforming completo

El algoritmo de beamforming completo puede ser ejemplificado suponiendo que existe un objetivo P en un ángulo Φ0, a una distancia R. El sistema de beamforming de transmisión realiza transmisiones sucesivas variando el ángulo del haz, Φ, hasta que recibe un eco para Φ = Φ0. Este eco se recibirá en todos los transductores, pero dichas señales no estarán sincronizadas. Para sincronizar las señales se aplica el siguiente desfase:

)cos()( 00 φφδc

dmm = Ecuación 24

a cada una. La señal que llega al objetivo P es una señal enviada por el beamformer de transmi-sión, que es por tanto una señal M veces mayor que la señal de partida:

)2

()(c

Rts

R

Mts TP −= Ecuación 25

En el objetivo P la señal se retrodispersa, con un factor de dispersión D. Por tanto, la señal recibida en cada uno de los transductores tiene la forma:

∆+−⋅

⋅)(

202

φmT c

Rts

R

MA Ecuación 26

El sistema de beamforming de adquisición introduce en cada una de las señales recibidas un determinado retraso δm y las suma:

∑−

=

−∆+−⋅

⋅=1

02

)(2

)(N

mmmTR c

Rts

R

MAts δφ Ecuación 27

Para conseguir la interferencia constructiva entre todas las señales recibidas, se de-be cumplir que:

)cos( 0φδc

dmm = Ecuación 28

Si se aplican los citados retrasos, la señal total recibida será amplificada de nuevo M veces respecto a la señal individual adquirida en cada transductor. Es decir:

Page 101: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 83

∑−

=

−⋅

⋅=1

02

2 2)(

N

mTR c

Rts

R

MAts Ecuación 29

El tiempo τ que transcurre entre la señal recibida, SR(t), y la señal transmitida, ST(t), es:

c

R⋅= 2τ Ecuación 30

La medida del tiempo τ permite calcular la distancia al objetivo P:

2

τ⋅= cR Ecuación 31

En la arquitectura electrónica avanzada que se planteará en el apartado 2, se ha realizado un diseño del Módulo de Excitación y del Módulo de Adquisición que permite poner en práctica ensayos beamforming completos. Las características que ha sido necesario incluir en cada módulo se comentarán con detenimiento en los apartados 3 y 5 de este capítulo.

1.5 Time reversal

El término Time Reversal Signal Processing (TRSP) hace referencia a una técnica utilizada para enfocar ondas ultrasónicas. Se conoce como Time Reversal Mirror (TRM) al dispositivo que permite enfocar ondas ultrasónicas utilizando el método de Time Reversal (TR). Normalmente los TRM son arrays de transductores, pero no necesariamente tienen que serlo. La técnica de Time Reversal fue inventada por Mathias Fink en la École Supérieure de Pysique et de Chimie Industrielles de Paris [Fink 1992] [Wu et al. 1992]. En su presentación, el autor utilizó transductores pie-zoeléctricos para poner en práctica y demostrar la efectividad del método TR. La técnica de TR representa una forma de enfocar las ondas ultrasónicas a través de un medio no homogéneo. La técnica se puede aplicar mediante TRMs realizados con un array de transductores (emisores/receptores) que responden linealmente y permiten muestrear las ondas incidentes. Las ondas adquiridas son posteriormente invertidas en el tiempo y reenviadas al medio. De acuerdo con el concepto de TRSP, la forma de la señal adquirida después de la última emisión debe ser idéntica a la señal original de entrada, a no ser que haya algún tipo de no-linealidad inducida por un daño estructural. Este proceso permite, a través de medios no homogéneos, enfocar las ondas ultrasónicas en un objetivo reflectante de ondas ultrasónicas, co-mo por ejemplo, una grieta. La técnica de time reversal tiene en cuenta tanto la fase de las señales ultrasónicas como la información de amplitud proveniente de los defectos [Chakroun et al. 1995]. Se trata de una técnica auto-adaptativa que únicamente requiere la presencia de un objetivo (un defecto, por ejemplo) en la estructura sometida a análisis.

Page 102: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

84 Luciano Casado Martínez

La técnica de TR está basada en la característica de reciprocidad de la ecuación de ondas. La reciprocidad dicta que si se dispone de una solución de la ecuación de ondas, entonces la inversión en el tiempo de dicha solución (time reversal) es tam-bién una solución de la ecuación de ondas. Algunos medios no cumplen la teoría de reciprocidad (los medios muy ruidosos, por ejemplo), aunque otros muchos sí la cumplen aproximadamente, como las ondas sonoras en el agua o el aire, las ondas ultrasónicas en el cuerpo humano y las ondas electromagnéticas en el espacio libre, por poner unos ejemplos. El medio, además, debe ser aproximadamente lineal. La aplicación de la técnica de enfoque time reversal en medios no homogéneos re-quiere de tres pasos (Figura 61).

Figura 61 – Los tres pasos a realizar en la técnica de time reversal para enfocar las ondas ultrasónicas en un objetivo (por ejemplo, una grieta). a) El primer paso consiste en transmitir un frente de ondas desde un array de transductores hasta el objetivo. En el objetivo, las ondas se dispersan y distorsio-nan y viajan en múltiples direcciones. b) En el segundo paso, las ondas que retornan a los transduc-tores, son adquiridas y muestreadas. c) En el último paso, las señales adquiridas se invierten en el tiempo y se utilizan para excitar los transductores piezoeléctricos, logrando que las ondas propaga-das se enfoquen en el objetivo [Fink 1992].

Page 103: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 85

El primer paso consiste en, utilizando un array de transductores piezoeléctricos, transmitir un frente de ondas que se propaga por el medio no homogéneo hasta el objetivo. En el objetivo, las ondas se dispersan y distorsionan y viajan en múltiples direcciones, retornando algunas hacia el array de transductores. En el segundo pa-so, las ondas que retornan a los transductores son adquiridas y muestreadas. Por último, las señales adquiridas se invierten en el tiempo y se utilizan para excitar los transductores piezoeléctricos, logrando enfocar el frente de ondas en el objetivo. El método de time reversal también puede ser utilizado de manera iterativa para, en el caso de que en el material haya más de un objetivo, poder enfocar el frente de ondas en el objetivo predominante. En este caso, el procedimiento a poner en prác-tica es el mostrado en la Figura 62.

Figura 62 – Principio de funcionamiento del modo time reversal iterativo. a) Un frente de ondas ilumina el sector que contiene los dos objetivos, b) Las ondas reflejadas se adquieren en el array de transductores, c) Las señales adquiridas se invierten en el tiempo y se utilizan para excitar los trans-ductores, d) los nuevos frentes de ondas reflejados se adquieren antes de iniciar un nuevo proceso de time reversal. Se aprecia que el defecto/objetivo de menor magnitud refleja menor energía que el de mayor magnitud. Después de varias iteraciones, el proceso converge y produce un frente de ondas enfocado en el defecto de mayor magnitud [Fink 1992]. Para que un sistema avanzado de SHM pueda poner en práctica la técnica de TRSP, es condición indispensable que las señales adquiridas en un ensayo puedan ser invertidas en el tiempo y reemitidas a la estructura. Para ello, en la arquitectura electrónica avanzada que se presentará en el apartado 2, está disponible una comu-nicación y adaptación total de señales entre el Módulo de Adquisición, el Módulo de Procesamiento, el Módulo de Almacenamiento y el Módulo de Excitación, de modo que la puesta en práctica de la técnica TRSP se pueda realizar de manera au-tomática. Asimismo, es posible programar el número de iteraciones que se deseen

Page 104: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

86 Luciano Casado Martínez

para lograr un enfoque mayor en los defectos presentes en la estructura. Las carac-terísticas de todos los módulos mencionados se mostrarán en los apartados 3, 5, 7 y 8 del presente capítulo.

1.6 Algoritmo de autoenfoque

El procedimiento de autoenfoque (Figura 63) mide las señales retrodispersadas en una primera transmisión realizada por un elemento de un array linear de transduc-tores. El algoritmo utiliza la técnica de correlación cruzada para determinar las di-ferencias en el tiempo de vuelo de las señales retrodispersadas que se reciben en los elementos del array. Estos retardos de tiempo se utilizan para ajustar los instantes de excitación de los elementos que permiten enfocar la transmisión en un defecto concreto. A continuación se alinean las señales retrodispersadas después de la transmisión enfocada para, calculando los retrasos de las señales retornadas, reali-zar el enfoque en la recepción. De esta forma, al superponer las señales adquiridas y alineadas, se obtiene una señal de mayor amplitud, que se encuentra enfocada en el defecto.

Figura 63 – Los pasos a realizar en un procedimiento de autoenfoque mediante un array lineal de transductores. a) Excitar el transductor central con una señal dada. b) Adquisición de las señales propagadas con todos los transductores. c) Determinación de las diferencias en el tiempo de vuelo de las señales adquiridas. d) Excitación enfocada de los transductores, e) adquisición enfocada y f) la superposición de las señales proporciona una señal enfocada en el defecto [Deutsch et al. 1997].

Page 105: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 87

Los resultados experimentales han demostrado la capacidad de autoenfoque en defectos simples [Deutsch et al. 1997]. No obstante, la técnica también se ha exten-dido para el caso de defectos múltiples. Repitiendo varias veces el procedimiento, se consigue enfocar en el defecto que produce las señales retrodispersadas de ma-yor amplitud. La arquitectura electrónica avanzada que se planteará en el apartado 2 permite medir la correlación cruzada entre los canales de adquisición y realizar la excita-ción posterior de la estructura ajustando libremente el retraso de cada uno de los canales de excitación. Otras características necesarias para la aplicación del algo-ritmo de autoenfoque se comentarán en los apartados correspondientes.

1.7 Algoritmos para campo cercano

Los algoritmos presentados en los subapartados anteriores se utilizan principal-mente para la detección de defectos en campo lejano (far-field). En campo cercano (near-field) se hace necesario un algoritmo más sofisticado de autoenfoque para realizar la detección de los defectos, como por ejemplo los algoritmos que utilizan principios de triangulación. En el algoritmo de triangulación convencional, se esti-ma la orientación de la fuente (por ejemplo, un defecto) utilizando dos subarrays bajo la suposición de campo lejano, calculando a posteriori la orientación de campo cercano y la distancia a la fuente a partir de la intersección de las líneas paralelas de los dos subarrays de campo lejano [Carter 1981]. Para rangos muy cercanos se pueden utilizar incluso algoritmos SAFT o Synthetic Aperture Focusing Technique [Nagai 1985, Ylitalo et al. 1994, Masri et al. 1995, Si-card et al. 2002]. En todo caso, las variaciones necesarias sobre las técnicas existentes para el caso de campo cercano deben tener en cuenta no sólo el ángulo de transmisión (como en el caso de beamforming), sino también la distancia de detección. Esto es debido a que los defectos presentes cerca del array se considera que están en campo cercano si la onda propagada tiene en ese punto un frente de ondas curvado, lo cual significa que el retardo de fase entre los elementos del array de transductores no sólo es fun-ción del ángulo, sino también de la distancia. Éste es un aspecto a tener en cuenta a la hora de utilizar algoritmos de tipo adaptativo, como el beamforming adaptativo [Sasso et al. 2005, Synnevag et al. 2007]. Al completar la lectura del presente capítulo, el lector de este documento se dará cuenta de que la gran flexibilidad disponible en la arquitectura electrónica avanza-da que se presentará en el apartado 2, permite poner en práctica los algoritmos de campo cercano descritos. Efectivamente, la flexibilidad del Módulo de Excitación permite dividir en varios subarrays el array de transductores piezoeléctricos, tra-tando cada uno de modo independiente. Asimismo, la potencia de cálculo disponi-ble en el Módulo de Procesamiento y Control permite poner en práctica técnicas SAFT o técnicas de Beammforming adaptativo (incluyendo todas sus variantes).

Page 106: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

88 Luciano Casado Martínez

1.8 Modo Pitch-catch con múltiples equipos

El modo Pitch-catch se asocia comúnmente con un modo básico de funcionamiento de los sistemas de análisis por ultrasonidos, como se mostró en el capítulo y apar-tado III.4.1. En este caso, el modo Pitch-catch con múltiples equipos consiste en transmitir una señal a una estructura utilizando un grupo de transductores piezo-eléctricos desde un primer equipo de SHM, esperar a que esa señal se propague por la estructura, donde sufre múltiples rebotes, y adquiriendo posteriormente las señales mediante otro grupo de transductores situado en otra zona de la estructura, conectados a otro equipo SHM. Este modo de funcionamiento está considerado dentro de la arquitectura electróni-ca avanzada que se presentará en el apartado 2, permitiendo que dos equipos de SHM independientes puedan realizar ensayos Pitch-Catch de manera conjunta y simultánea sobre la misma estructura, de cara a detectar defectos y/o problemas en juntas soldadas, atornilladas o remachadas, o en elementos rigidizadores, por ejemplo. Este modo de funcionamiento se representa en la Figura 64.

Figura 64 – Dos equipos de SHM avanzado, funcionando uno de ellos en modo Pitch y el otro en modo Catch, lo cual se ha denominado modo Pitch-Catch con múltiples equipos. El equipo SHM que se dispone en modo Pitch, excita la estructura con el array de transductores piezoeléctricos al que se conecta y envía una orden de inicio sincro-nizado de ensayo al segundo equipo SHM mediante una línea de comunicaciones. Este último equipo se habrá situado en otro punto de la misma estructura y se habrá dispuesto previamente en modo Catch, de modo que cuando recibe la orden de inicio de ensayo, los dos equipos SHM comienzan a registrar las señales propa-gadas por la estructura. El análisis de las señales adquiridas ayuda a determinar qué ocurre en el espacio situado entre ambos equipos.

Modo Pitch Modo Catch Orden de inicio sincroni-zado de ensayo

Equipo SHM Avanzado

Estructura sometida a análisis

Equipo SHM Avanzado

Defecto

Page 107: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 89

Este modo de funcionamiento se ha incluido en la arquitectura electrónica avanza-da que se mostrará en este capítulo y se ha probado con éxito en el prototipo que se planteará en el apartado 13.

1.9 Detección de impactos

Durante el vuelo de una aeronave, preocupan los impactos que se puedan llegar a producir contra la estructura. El tipo de impacto más común es el producido contra las aves, principalmente durante las maniobras de despegue y aterrizaje. No obs-tante, se pueden producir otra serie de impactos, como impactos por granizo o si-milares. Aunque en la actualidad existen ahuyentadores de aves instalados cerca de las pis-tas de aterrizaje y despegue de los aeropuertos, se siguen produciendo impactos contra aves (Figura 65), como demuestra la larga lista de impactos que se producen a diario, conocidos en inglés como “bird strikes” [The Aviation Herald 2011c].

Figura 65 – Impacto de un ave contra una estructura de una aeronave. Un sistema SHM avanzado detecta el impacto y comienza la adquisición de las señales de impacto. Por consiguiente, se hace patente en una arquitectura electrónica avanzada la nece-sidad de incluir un método de detección de los impactos que se produzcan en las estructuras sometidas a análisis, aprovechando al máximo la electrónica ya dispo-nible en una arquitectura avanzada. Con el objetivo de realizar dicha detección, en la arquitectura avanzada se ha in-cluido un Módulo de Detección de Impactos, que se puede activar durante las ma-niobras de despegue y aterrizaje, y que mantiene una constante adquisición de las señales utilizando los transductores piezoeléctricos. En el supuesto de un impacto,

SHM

Estructura sometida a análisis

Adquisición de se-ñales de impacto

Page 108: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

90 Luciano Casado Martínez

detecta su aparición y mantiene una cantidad de muestras anteriormente adquiri-das y adquiere una cantidad posterior, logrando así registrar las señales anteriores y posteriores al impacto. Utilizando estas señales es posible, mediante algoritmos de tratamiento de señal, determinar la posición aproximada del impacto. Posteriormente, el sistema SHM podrá realizar otra serie de análisis de integridad, para determinar si el impacto registrado ha ocasionado algún tipo de daño en la estructura.

2. Arquitectura electrónica propuesta para un sistema avan-zado de SHM

Haciendo uso de la nueva arquitectura electrónica avanzada que se presentará en los siguientes apartados, se busca poner en práctica técnicas de análisis avanzadas que no serían posibles con una arquitectura electrónica básica como la presentada en el capítulo III. Las capacidades necesarias para utilizar técnicas de análisis avan-zadas ha condicionado el planteamiento de toda la arquitectura presentada en este capítulo y ha sido uno de los retos a los que se ha enfrentado el autor de esta tesis, manteniendo siempre un bajo peso, consumo y pequeño tamaño que lo haga atrac-tivo para el sector aeronáutico en el que se enmarca. Dentro del ámbito aeronáutico, la utilización de sistemas basados en la arquitectura electrónica avanzada de SHM presenta una serie de ventajas:

• Se reducen los costes de mantenimiento directos y la cantidad de horas de trabajo necesarias en la utilización de los métodos comunes de testeo no des-tructivo, así como el esfuerzo de los proveedores de tareas de mantenimien-to de las estructuras.

• Se simplifican y optimizan los futuros programas de mantenimiento de las aeronaves, posibilitando el mantenimiento según la condición real de la es-tructura, lo que se conoce como CBM (del inglés, Condition Based Mainte-nance), con el fin de reducir el mantenimiento programado (especialmente importante para las aerolíneas) y el tiempo de parada de los aparatos debido a revisiones.

• Se incrementa la disponibilidad de las estructuras a coste mínimo para el usuario final (fabricantes de aeronaves) incrementando asimismo la garantía de calidad de los productos finales.

• Se reduce el tiempo de introducción en el mercado de los productos (TTM, del inglés Time To Market) y del coste total de ciclo de vida, evitando la ma-yor parte de los ensayos, evaluaciones e inspecciones no destructivas comu-nes, como por ejemplo, durante las certificaciones mediante ensayos de fati-ga o durante las fases criticas de ensamblaje.

• Se mejora y se convierte en una realidad la monitorización de integridad es-tructural, in situ y en tiempo real, de estructuras que cuentan con una cierta tolerancia al daño (DTS, del inglés Damage Tolerant Structure), como por ejemplo la generación venidera de aeronaves.

Page 109: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 91

• Se abre la puerta a la optimización (en masa y forma) de futuras estructuras utilizando el método de diseño a carga máxima (FSD, del inglés Fully Stres-sed Design) y los mapas operacionales de distribución de esfuerzos obteni-dos durante las distintas condiciones reales de servicio, aspecto importante para los ingenieros de diseño y de cálculo.

• Se hace posible medir el envejecimiento estructural y adquirir datos opera-cionales de la estructura, de cara a evaluar la vida estructural “consumida”, posibilitando así la prognosis de la vida restante.

• Se hace más sencilla y precisa la identificación de las causas reales de daños o defectos estructurales, de modo que se puedan seleccionar a tiempo las medidas más efectivas, identificando además las aéreas críticas de la estruc-tura durante su servicio en entornos reales.

• Se produce una mejora de la seguridad del transporte aeronáutico, espe-cialmente en zonas estructurales críticas que trabajan con cargas o regímenes críticos, como ocurre en el caso de vehículos espaciales o aeronaves.

• Se dispone en cada momento de la información sobre la integridad estructu-ral, vida consumida y vida restante, información ésta que es importante para compañías aseguradoras o de leasing, compradores de estructuras, comer-ciantes o proveedores de mantenimiento.

Dentro del marco aeronáutico, se ha realizado el planteamiento de la arquitectura electrónica avanzada para monitorización de integridad estructural basada en el método de ondas guiadas ultrasónicas, que se ha desarrollado en un prototipo de-nominado PAMELA v3. La arquitectura electrónica avanzada está formada por todos los dispositivos necesarios para realizar un sistema avanzado de SHM basa-do en ondas ultrasónicas. El sistema se conecta a un array lineal de transductores piezoeléctricos embebidos o adheridos a la estructura que se desea monitorizar. La principal diferencia de la arquitectura electrónica avanzada presentada en este capítulo respecto a la arquitectura electrónica básica presentado en el capítulo III radica en que esta arquitectura avanzada tiene la capacidad de excitar y adquirir señales de todos los transductores piezoeléctricos al mismo tiempo, además de po-der detectar impactos en la estructura y otra serie de funcionalidades avanzadas. Estas nuevas capacidades permiten poner en práctica las técnicas de análisis más avanzado presentadas en el apartado 1 del presente capítulo, como beamforming, el algoritmo de autoenfoque o time reversal, entre otras. No obstante, dada la gran flexibilidad con la que se ha diseñado la arquitectura electrónica avanzada, no es descartable que se pueda reconfigurar para utilizar otra serie de técnicas en inves-tigación no contempladas en este capítulo. Al igual que ocurría con la arquitectura electrónica básica, la arquitectura electróni-ca avanzada se puede utilizar para analizar tanto materiales metálicos como mate-riales compuestos, variando la frecuencia de la señal de excitación y el modelo de transductor piezoeléctrico elegido. El diseño de la arquitectura avanzada está enmarcado dentro del proyecto ICARO (CENIT), en el que han colaborado tanto el Grupo de Diseño Electrónico de la Uni-

Page 110: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

92 Luciano Casado Martínez

versidad del País Vasco, como el Grupo de Investigación en Instrumentación y Acústica Aplicada de la Universidad Politécnica de Madrid (UPM) y la empresa AERnnova Engineering Solutions Ibérica S.A. El prototipo desarrollado en base a dicha arquitectura se ha registrado con el nombre Phased Array Monitoring for Enhanced Life Assessment (PAMELA) v3. PAMELA v3 es el componente principal del sistema global de SHM basado en ondas guiadas ultrasónicas, que integra el hardware necesario y los transductores piezoeléctricos.

2.1 Esquema general de la arquitectura

En la Figura 66 se representa el diagrama de bloques de la arquitectura electrónica avanzada, donde se muestran, de manera general, los módulos que la componen.

Figura 66 – Diagrama de bloques general de la arquitectura electrónica avanzada. El Módulo de Procesamiento se encarga de controlar y configurar el Modulo de Impactos, el Modulo de Excitación, el Módulo de Adquisición y el Módulo de Alimentación. La comunicación con computadores exter-nos de control se realiza a través del Módulo de Comunicaciones. Las señales de configuración y control se indican con trazos discontinuos, mientras que con trazos continuos se indica el camino que siguen las señales de excitación y adquisición entre módulos. La arquitectura completa se puede separar principalmente en una sección digital y en una sección analógica. Algunos módulos, como el Módulo de Comunicaciones,

MÓDULO DE ADAPTACIÓN

MÓDULO DE EXCITACIÓN

MÓDULO DE ADQUISICIÓN

12 12

MÓDULO DE PROCE-SAMIENTO

MÓDULO DE DETECCIÓN IMPACTOS

MÓDULO DE CO-MUNICACIONES

MÓDULO DE ALMA-CENAMIENTO

12

Ethernet

MÓDULO DE ALIMENTACIÓN

DMA Configuración

Interrupción

Bajo consumo

12 transducto-res situados debajo de un adaptador

Page 111: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 93

el Módulo de Almacenamiento o el Módulo de Procesamiento son puramente digi-tales, mientras que otros, como el Módulo de Excitación o el Módulo de Adquisi-ción, presentan tanto una parte digital como una parte analógica. La misma arqui-tectura también incluye módulos que se pueden considerar totalmente analógicos, como el Módulo de Impactos o el Módulo de Adaptación.

2.2 Sección digital

En la Figura 67 se expone el esquema general de la sección digital de la arquitectu-ra electrónica avanzada.

Figura 67 – Diagrama general de la sección digital de la arquitectura electrónica avanzada, donde se observa que gran parte de la lógica digital se ha diseñado en el interior de la FPGA Virtex-5 FX70T. La sección digital de la arquitectura electrónica avanzada contiene una gran varie-dad de elementos complejos, como un procesador, multiplexores, memorias FIFO, filtros FIR, y otros, que en caso de realizarse con componentes discretos, requeriría de mucho espacio físico a la hora de crear el circuito impreso. Por ello, toda esta lógica ha sido diseñada en un dispositivo FPGA (del inglés Field Programmable Gate Array). En el caso del prototipo PAMELA v3, se ha escogido una FPGA de la familia Virtex-5, fabricado por la compañía Xilinx [Xilinx 2011b]. El resto de ele-mentos de la sección digital, como el Módulo de Comunicaciones o el Módulo de Almacenamiento, se han diseñado con componentes digitales discretos. Los dispositivos FPGA consisten en un array de bloques lógicos configurables (Configurable Logic Block, CLB) y unas líneas de interconexión programables, que permiten implementar un circuito digital reconfigurable. Además, disponen de una serie de bloques de entrada/salida que soportan múltiples estándares (CMOS, LVDS, DCI,…), para comunicarse con multitud de elementos externos. El esquema general de los bloques que forman una FPGA puede observarse en la Figura 68.

Módulo de Almacenamiento

FPGA Virtex-5 FX70T

Módulo de Comunicaciones

Módulo de Excitación Analógico

Módulo de Adquisición Analógico

DRAM

PROM

Flash

Módulo de Excitación

Digital

Módulo de Adquisición

Digital

Procesador

Interfaz DRAM y

DMA Módulo de Impactos

Otros circuitos

Page 112: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

94 Luciano Casado Martínez

Figura 68 – Esquema general de los bloques que forman la lógica programable de un dispositivo FPGA [Xilinx 2011b]. En principio, hubiese cabido la posibilidad de realizar las funciones implementadas en la arquitectura electrónica avanzada en base a chips ASIC y chips de propósito general. Sin embargo, esta solución hubiese requerido de mucho espacio físico a la hora de crear el circuito impreso. El uso de una FPGA, pese a no tener las ventajas de consumo y velocidad de los ASIC, otorga una amplia flexibilidad, una gran in-tegración y reduce enormemente el tiempo de desarrollo. En el caso de la arquitec-tura presentada, permite disponer de un procesador, memorias, filtros, relojes, multiplexores, puertas lógicas o cualquier otra función lógica que se necesite, de-ntro de un mismo encapsulado, siendo esta configuración, además, totalmente re-configurable tantas veces como sea necesario. En concreto, de cara al prototipo PAMELA v3, se ha elegido el modelo de FPGA Virtex-5 FX70T, que cuenta con un encapsulado FF1136 de 1136 pines y un tamaño de 35×35 mm. A continuación, se enumeran los principales elementos incluidos dentro de este dispositivo FPGA:

• Un procesador PowerPC 440 embebido, con una frecuencia de funciona-miento de 550 MHz, proporcionando a esa frecuencia una potencia genérica de 1.100 DMIPS para cálculos de enteros.

• Dos MAC Ethernet embebidas, de las cuales se utiliza una para construir una conexión Ethernet 10/100 Mbps.

• Sistema interno de generación de relojes, que permite generar todos los relo-jes internos y externos, como por ejemplo, los relojes de conversión de los ADC y los DAC.

• Bloques DSP internos, para construir dispositivos de tratamiento de señal, como filtros o etapas de diezmado, entre otros.

• Una cantidad suficiente de puertas lógicas internas, para generar la lógica interna necesaria.

Page 113: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 95

Cada dispositivo FPGA Virtex-5 está disponible en tres versiones que ofrecen un rendimiento creciente: -1, -2 y -3 (Tabla 2). Para el sistema PAMELA v3 se ha elegi-do la verisón de mayor rendimiento, -3, por lo que la referencia completa del dis-positivo, incluyendo la indicación de rango de temperatura comercial, es XC5VFX70T-3FFG1136C.

Tabla 2- Tabla de velocidades disponibles para la familia de FPGA Virtex-5. PAMELA v3 se ha dise-ñado en base a un dispositivo de tipo -3, que proporciona el máximo rendimiento [Xilinx 2011b]. La herramienta de trabajo para el diseño de la FPGA ha sido la que proporciona el fabricante Xilinx, la suite ofimática ISE Design Suite v10.1. Se ha utilizado princi-palmente lenguaje VHDL para diseñar ciertas partes de la lógica, así como las pro-pias herramientas gráficas de Xilinx para construir el resto de elementos, denomi-nados Core IP. Asimismo, la herramienta XPS incluida en dicha suite ha servido para construir un sistema embebido basado en microprocesador, proporcionando un bus de comunicaciones interno, generación de relojes, etc. El dispositivo FPGA elegido dispone de 1136 pines, de los cuales 640 son pines de propósito general (Figura 69). Estos pines se han utilizado para realizar la interco-nexión con el resto de la sección digital de la arquitectura electrónica avanzada y con los elementos mixtos digital-analógico presentes en la sección analógica (ADC, DAC, etc.). Los 640 pines de propósito general están divididos en 19 bancos de 20 o 40 pines (dependiendo del banco) y 130 pines RocketIO (que sirven para realizar conexiones serie de alta velocidad, que no han sido necesarias en PAMELA v3). Cada uno de estos bancos se puede alimentar a una tensión diferente, y puede ma-nejar diferentes estándares de interconexión (LVDS, LVCMOS, LVDCI, LVTTL, etc.). En la Figura 69 se puede apreciar la distribución de los pines de entra-da/salida en la FPGA seleccionada.

Page 114: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

96 Luciano Casado Martínez

Figura 69 – Distribución de los pines de la FPGA Virtex-5 FX70T. Los cuadros verdes corresponden a pines de referencia (GND), los pines rojos y naranjas corresponden a pines de alimentación, los pines marrones corresponden a pines RocketIO de alta velocidad serie, y el resto de pines corresponden a pines de propósito general [Xilinx 2011b]. En dicha figura, los cuadrados verdes corresponden a pines de tierra y los cuadra-dos rojos y naranjas a pines de alimentación. Los cuadrados marrones son los pines RocketIO de alta velocidad serie, y el resto de pines con forma circular son los 640 pines de propósito general. Como se aprecia, están distribuidos en zonas de dife-rente color, denominadas bancos. La elección de las alimentaciones y la distribu-ción de los pines de cada banco se han realizado tras prever la futura posición en el circuito impreso de los chips presentes en el prototipo demostrador PAMELA v3. El diseño de los módulos digitales incluidos dentro de la FPGA se detallará más adelante en su apartado correspondiente.

2.3 Sección Analógica

La sección analógica de la arquitectura electrónica avanzada se ha realizado princi-palmente con componentes analógicos discretos interconectados entre sí. En la Figura 70 se muestra el detalle de la sección analógica, con todos los módulos in-ternos.

Page 115: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 97

Figura 70 – Diagrama de bloques de la sección analógica de la arquitectura electrónica avanzada de SHM y su conexión con la sección digital. Al igual que ocurría en la arquitectura electrónica básica presentada en el capítulo III, son necesarios sendos módulos de adaptación, excitación y adquisición. No obs-tante, como elemento diferenciador, en el caso de la arquitectura electrónica avan-zada, se ha incluido un módulo de detección de impactos. Cada uno de los módu-los de la sección analógica se detallará en sus respectivos apartados.

3. Módulo de Excitación

El Módulo de Excitación se encarga de generar las señales ultrasónicas que, tras ser convertidas al dominio analógico, son utilizadas para excitar los transductores pie-zoeléctricos. El Módulo de Excitación está compuesto por dos partes interconecta-das entre sí: el Módulo Digital de Excitación (MDE) y el Módulo Analógico de Exci-tación (MAE). En el prototipo PAMELA v3, el Módulo Digital de Excitación se ha construido dentro de la FPGA Virtex-5, mientras que el Módulo Analógico de Exci-tación se ha construido con componentes específicos discretos, como amplificado-res de señal o DAC.

3.1 Módulo Digital de Excitación

La Figura 71 muestra el diagrama de bloques del Módulo Digital de Excitación. Como se ha comentado con anterioridad, el prototipo PAMELA v3 está compuesto de doce canales de excitación y adquisición. Por ello, el MDE consta de doce cana-les semejantes de 10 bits y 100 MHz dispuestos en paralelo. Cada uno de estos ca-nales se puede configurar de manera independiente. La resolución de 10 bits con-

SECCIÓN ANALÓGICA

MÓDULO DE ADAPTACIÓN

MÓDULO DE ADQUISICIÓN ANALÓGICO

MÓDULO DE EXCITACIÓN ANALÓGICO

SECCIÓN DIGITAL

MÓDULO DE DETECCIÓN DE

IMPACTOS

12 transductores piezoeléctricos

12

12

Page 116: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

98 Luciano Casado Martínez

cuerda con la resolución del DAC que realiza la conversión digital a analógico en el Módulo Analógico de Excitación, como se verá más adelante.

Figura 71 – Diagrama de bloques del Módulo de Excitación Digital de la arquitectura electrónica avanzada. En primer lugar, cada canal está compuesto de una Memoria Base de Señal (MBS), que se puede realizar mediante una memoria RAM de doble puerto de 16.384 posi-ciones y 10 bits. En cada una de las doce MBS se almacena la señal ultrasónica que se desea transmitir por cada canal (Figura 72). Las MBS se cargan desde el Módulo de Procesamiento utilizando el bus PLB de la FPGA Virtex-5, que interconecta el procesador PowerPC (dentro del Módulo de Procesamiento y Control) con el MDE. Los valores almacenados en las MBS se pasan al Multiplexor N×N mediante un contador que genera las direcciones de lectura de la memoria con el fin de repro-ducir la señal almacenada. Este contador es conveniente que tenga diferentes pa-rámetros de configuración, como el valor máximo del contador o la función capaz de continuar la cuenta del contador del canal anterior para generar señales conca-tenadas. En efecto, las doce MBS se pueden concatenar para almacenar una señal de mayor duración que se puede transmitir a todos los canales. El modo concate-nado, en combinación con el Multiplexor N×N, permite transmitir señales de dura-ción máxima muy elevada. De todas formas, se debe tener en cuenta que el diseño del MDE mostrado en la Figura 71 se podrían llegar a simplificar. La utilización del modo concatenado de memorias es necesaria debido al límite de memoria disponible en la FPGA Virtex-5 FX70T. No obstante, de cara al diseño general de la arquitectura electrónica avan-zada, las MBS podrían ser de un tamaño muy elevado, simplificando por tanto el diseño del MDE. Lo mismo ocurre en la sección de las FIFO, donde la utilización de memorias FIFO muy grandes podría simplificar el diseño.

MBS1

Desde PowerPC

Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Reloj de conversión

10 bits 10 bits

Mux

Mux

Mux

Configuración y generación de relojes

10 bits

Hacia el Módulo de Excita-ción Ana-

Page 117: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 99

Figura 72 – a) Ejemplo de una señal de excitación codificada. b) Detalle de la señal. c) Codificación binaria de la señal de excitación. El Multiplexor 12×12 es un multiplexor de 12 por 12 buses de una sola dirección, que permite variar la posición de los canales entre sus 12 entradas y sus 12 salidas. Se puede realizar internamente mediante 12 multiplexores de 12 a 1 buses, más algunos circuitos adicionales, para permitir la sincronización en el modo de conca-tenación de memorias. Este bloque añade flexibilidad al sistema, permitiendo va-riar las señales asignadas a cada canal de manera dinámica y participando en la concatenación de MBS cuando sea necesaria una señal de mayor duración que el tamaño de cada MBS. Además, el Multiplexor 12×12 permite enviar la señal de una MBS a todos o parte de los canales, aplicar la señal de la MBS de un canal a otro canal diferente, etc. En definitiva, el Multiplexor 12×12 es el elemento que provee de una amplia flexibilidad a todo el MDE. A continuación del Multiplexor 12×12, se encuentran una serie de multiplexores configurables y una memoria FIFO de 256 posiciones y 10 bits para cada canal. Las memorias FIFO permiten desfasar independientemente cada una de las señales de los doce canales de manera independiente (Figura 73). El retraso que se aplica en cada una de las FIFO se establece retrasando la lectura de cada una de las FIFO un número configurable de ciclos. Cada una de las memorias FIFO se encuentra conec-tada, a través de un multiplexor configurable, con la salida del canal correspon-diente del multiplexor de 12x12, la memoria FIFO del canal anterior y con la me-moria FIFO del canal posterior, de modo que los desfases se pueden transmitir en-tre canales en un sentido o en el otro. Esta característica permite aplicar la técnica de beamforming para los dos cuadrantes superiores y para los dos cuadrantes infe-riores de una estructura, sin modificar los datos almacenados en las MBS. Además, las memorias FIFO y las MBS pueden funcionar en conjuntos de X canales (siendo X y la suma de todos los grupos de X un número natural menor o igual a 12), de modo que se pueden transmitir señales diferentes, incluso con desfases diferentes, en grupos de X canales seleccionados de entre los doce canales totales (muy útil para aplicar, por ejemplo, el algoritmo de triangulación en campo cercano).

10 0000 0000

00 0000 0000 11 1111 1111

t amplitud HEX ... ... ... t0 00 0010 0101 025 t0+10ns 00 0001 1001 019 t0+20ns 00 0000 0111 007 t0+30ns 00 0000 0000 000 t0+40ns 11 1111 1001 3F9 t0+50ns 11 1110 0111 3E7 t0+60ns 11 1101 0110 3D6 ... ...

01 1111 1111

a) b) c)

t0

Page 118: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

100 Luciano Casado Martínez

Figura 73 – Esquema gráfico de la memoria FIFO. El bloque incluye un contador para configurar el retraso que introduce la memoria FIFO y un multiplexor para conectar el bloque con la memoria FIFO del canal anterior y del canal posterior. El esquema gráfico para el primer y último canal es más sencillo, debido a que sólo existe conexión con el canal posterior y con el anterior, respectivamente. Las señales de salida de las memorias FIFO se agrupan en parejas utilizando un multiplexor (Mux) que funciona a 200 MHz, el doble de la frecuencia de funciona-miento de las etapas anteriores. Este multiplexor encadena una pareja de canales introduciendo el primer canal de la pareja en el flanco de subida y el segundo canal en el flanco de bajada. Este multiplexado está relacionado con la capacidad de en-trada de datos necesaria en el DAC del Módulo Analógico de Excitación, que se realiza tanto en el flanco de subida como en el de bajada. Terminada esta última operación, las señales de salida se encaminan hacia el Módulo Analógico de Excita-ción. La configuración de los bloques del Módulo Digital de Excitación se almacena en un bloque de configuración y generación de relojes, donde se almacena la configu-ración de los contadores, del Multiplexor 12×12, de los multiplexores configurables y de las memorias FIFO, y además se generan los relojes necesarios para el funcio-namiento del MDE (200 MHz para los últimos multiplexores y 100 MHz para el resto de la lógica).

3.2 Módulo Analógico de Excitación

El Módulo Analógico de Excitación está formado por circuitos electrónicos discre-tos que convierten las señales digitales de salida del Módulo Digital de Excitación en señales analógicas que se utilizan para excitar los transductores piezoeléctricos. En la Figura 74 se muestran los elementos utilizados en este módulo para cada par de canales contiguos.

Page 119: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 101

Figura 74 – Diagrama de bloques para dos canales del Módulo Analógico de Excitación. En la arqui-tectura electrónica avanzada, el grupo de elementos mostrado se repite seis veces, para un total de doce canales de excitación. El grupo de elementos mostrado en la figura convierte las señales digita-les del Módulo Digital de Excitación en señales analógicas de hasta 20 Vpico-a-pico. En el Módulo Analógico de Excitación, los canales se gestionan en parejas. Como se ha comentado en el apartado anterior, el MDE proporciona a su salida seis parejas de canales sincronizadas en el flanco de subida y en el flanco de bajada del reloj de conversión de 100 MHz. En el Módulo Analógico de Excitación, para cada pareja, se utiliza en primer lugar un dispositivo DAC de 10 bits de resolución y frecuencia de conversión de 100 MSPS, para convertir las señales digitales en señales analógi-cas de baja amplitud. En el prototipo PAMELA v3 se ha utilizado el chip AD9115 del fabricante Analog Devices Inc. [Analog Devices Inc. 2011], que dispone a su entrada de un bus compartido de 10 bits y 100 MHz para los dos DAC que integra. Los dos DAC proporcionan a su salida, en intensidad y en modo diferencial, una señal de baja amplitud, que se amplifica mediante un Amplificador Operacional en configuración restador (Figura 75). El circuito integrado elegido para esta función en PAMELA v3 ha sido el AD826, formado por dos AMPOP de 50 MHz de ancho de banda ganancia unidad, un Slew Rate de 350 V/µs imprescindible para generar señales ultrasónicas de gran amplitud, una intensidad de salida mínima de 50 mA y, un aspecto muy importante en esta aplicación, capacidad para atacar a cargas capacitivas elevadas, como es el caso de un transductor piezoeléctrico. Estos ampli-ficadores, utilizados en configuración amplificador restador, permiten amplificar las señales ultrasónicas de hasta 500 kHz y 20 Vpico-a-pico introduciendo la míni-ma distorsión. Esta configuración dispone de programación de amplitud de salida, dado que la intensidad de salida del DAC es configurable en ocho pasos desde 2 mA hasta 8 mA, haciendo que la amplitud de salida de las señales sea configurable desde 5 Vpico-a-pico hasta 20 Vpico-a-pico. Asimismo, la amplitud de las señales también

AD9115

DAC Bus de 10 bits

Señal analógica de hasta 20 Vpico-a-pico

DAC

AD826 ½ ADG1412

PowerPC ON/OFF

Señal analógica de baja amplitud

Señales de excitación para los transductores

Reloj de conversión

Page 120: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

102 Luciano Casado Martínez

es configurable en el MDE, reduciendo o aumentando la amplitud de las muestras de las señales de excitación almacenadas en las MBS.

Figura 75 – Configuración en amplificador restador, utilizada en la salida de los DAC del AD9115 en PAMELA v3 para amplificar la señal de excitación hasta los 20 V pico-a-pico. Se ha incluido un filtro anti-aliasing paso-bajo de 1 MHz para evitar la aparición de aliasing en las señales generadas. El último elemento del Módulo Analógico de Excitación se trata de un switch ana-lógico que, para cada canal, activa o desactiva la transmisión de señal hacia los transductores piezoeléctricos, permitiendo el funcionamiento de los doce canales de PAMELA v3 en modo full-duplex. Es importante que este switch tenga la menor resistencia serie posible y que no altere las señales que pasan a través de él. Por ello, en PAMELA v3 se ha escogido el circuito integrado ADG1412 del fabricante Analog Devices Inc., que contiene cuatro switches analógicos de 1,8 Ω de resisten-cia serie (máxima), posee funcionamiento rail-to-rail, tiempos de conmutación muy bajos (tON = 170 ns y tOFF = 140 ns) y un consumo máximo de 0,03 µW. Para cada par de canales, se utilizan dos switches de los cuatro presentes en el ADG1412. Los switches se conmutan desde el procesador PowerPC embebido en la FPGA (Módu-lo de Procesamiento y Control), por lo que las líneas de control de los switches se conectan a los pines de la FPGA. Las señales de salida de los switches analógicos se envían hacia el Módulo de Adaptación, último elemento antes de los transductores piezoeléctricos.

3.3 Ejemplos de funcionamiento del Módulo de Excitación

En este subapartado se presentan ejemplos de funcionamiento del Módulo de Exci-tación y su relación con las técnicas de análisis que se pueden poner en práctica mediante la arquitectura electrónica avanzada. Esta gran variedad de técnicas de análisis son en gran parte posibles gracias a las grandes posibilidades de configura-ción con las que cuenta el Módulo Digital de Excitación, como se ha comentado en el apartado correspondiente. Aunque por cada salida del bloque Mux del MED se envían dos canales, sincroni-zado el primero de ellos en el flanco de subida del reloj y el segundo en el flanco de

R2

R2

R3

R3

VOUT DAC AD9115

Filtro anti-aliasing de 1 MHz

R1

R1

C1

Page 121: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 103

bajada del reloj, por simplicidad, en las figuras se muestran las señales de salida como una única. Aún así, se debe tener presente que en la realidad, por cada salida del bloque Mux, se envían dos canales.

• Señal de excitación de corta duración por un canal. En la Figura 76 se representa un ejemplo de configuración y funcionamiento del MDE para un ensayo con excitación por un único canal.

Figura 76 – Representación del ensayo con excitación por un solo canal. En la Figura 77 se muestra un ejemplo de la transmisión de señal por uno de los canales, adquirida mediante un osciloscopio. La señal de excitación es de 330 kHz y tiene 20 periodos.

Figura 77 – Señal de excitación en un ensayo con excitación por un solo canal. Como señal de excita-ción se ha elegido una forma de onda sinusoidal de 330 kHz y 20 periodos.

MAE

MBS1 Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

MAE

MAE

Page 122: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

104 Luciano Casado Martínez

• Señal de excitación de larga duración por un canal. En la Figura 78 se muestra un

ejemplo de configuración y funcionamiento del MDE para un ensayo con un único canal de excitación y concatenación de varias MBS para poder trans-mitir una señal de gran duración o baja frecuencia principal.

Figura 78 – Representación del ensayo con excitación por un solo canal, concatenando varias memo-rias base para construir una señal de excitación de larga duración o baja frecuencia principal.

• Señales de excitación diferentes por todos los canales, con retardos distintos para ca-da canal. En la Figura 79 se observa un ejemplo de configuración y funcio-namiento del MDE para un ensayo con múltiples señales y retrasos diferen-tes.

Figura 79 – Representación del ensayo con múltiples señales y retrasos diferentes para cada una.

MBS1 Contador1

MBS2

MBSi

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

MBS12

MAE

MAE

MAE

MAE

MAE

MAE

MBS2

MBS1 Contador1

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

Page 123: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 105

En la Figura 80 se muestra un ejemplo de la transmisión de las señales de un ensa-yo con múltiples señales y diferentes retrasos en cada una, adquiridas mediante un osciloscopio. La señal de excitación es de 330 kHz y tiene 20 periodos.

Figura 80 – Señales de excitación en un ensayo con múltiples señales y múltiples retrasos diferentes. Como señal de excitación se ha elegido una forma de onda sinusoidal de 330 kHz y 20 periodos.

• Señales de excitación para ensayo beamforming en transmisión. En la Figura 81 se representa un ejemplo de configuración y funcionamiento del MDE para un ensayo de beamforming para el primer cuadrante de una estructura.

Figura 81 – Representación del ensayo con beamforming y primer cuadrante. En la Figura 82 se muestra un ejemplo de configuración y funcionamiento del MED para un ensayo de beamforming para el segundo cuadrante de una estructura.

MAE

MAE

MAE

MBS1 Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

Page 124: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

106 Luciano Casado Martínez

Figura 82 – Representación del ensayo con beamforming y segundo cuadrante. En la Figura 83 se representa un ejemplo de la transmisión de las señales de un en-sayo beamforming, adquiridas mediante un osciloscopio. La señal de excitación es de 330 kHz y tiene 20 periodos.

Figura 83 – Señales de excitación en un ensayo beamforming de segundo cuadrante. Como señal de excitación se ha elegido una forma de onda sinusoidal de 330 kHz y 20 periodos.

• Señales de excitación para ensayo time reversal. En la Figura 84 se muestra un ejemplo de configuración y funcionamiento del MED para un ensayo time reversal. En este caso, se utiliza como señal de excitación una o varias de las señales almacenadas en la memoria DDR2 SDRAM [JEDEC 2009] en un en-sayo previo.

MAE

MAE

MAE

MBS1 Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

Page 125: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 107

Figura 84 – Representación del ensayo time reversal para un solo canal. Como señal de excitación se utiliza una de las señales adquiridas en un ensayo previo. Aunque se muestre un solo canal, se podr-ía realizar el ensayo con múltiples canales. En la Figura 85 se representa un ejemplo de la transmisión de las señales de un en-sayo time reversal. La señal de excitación es una de las señales adquiridas en un ensayo previo, en el que se utilizó una señal sinusoidal de 330 kHz y 20 periodos.

Figura 85 – Señal de excitación en un ensayo time reversal. Como señal de excitación se utiliza una de las señales adquiridas en un ensayo previo en el que se utilizó una señal sinusoidal de 330 kHz y 20 periodos.

MAE

MAE

MAE

MBS1 Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

Mux

DDR2 SDRAM PowerPC

440

Señal almacenada en DDR2 SDRAM adquirida en un ensayo previo

MCI

Page 126: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

108 Luciano Casado Martínez

4. Módulo de Adaptación

Este módulo es el encargado de adecuar las señales generadas por el Módulo de Excitación a los transductores piezoeléctricos, proporcionando las protecciones ne-cesarias. Además, se encarga de proporcionar una conexión cuasi full-duplex que permite, al mismo tiempo, recoger las señales por el Módulo de Adquisición, inclu-so en instantes en los cuales el Módulo de Excitación se encuentre excitando los transductores piezoeléctricos. El esquema general del Módulo de Adaptación se puede apreciar en la Figura 86.

Figura 86 – Esquema general del Módulo de Adaptación para un canal en la arquitectura electrónica avanzada. En la figura se aprecian los elementos que conforman el Módulo de Adaptación: dos TVS o Transient Voltage Supressor, un descargador de gas y un transformador de señal. El Módulo de Adaptación está compuesto por tres elementos principales:

• Dos TVS o Transient Voltage Suppressor, que proporcionan protección fren-te a sobretensiones de duración media, evitando que la tensión en la salida del Módulo de Excitación supere los 30 Vpico-a-pico, o lo que es lo mismo, que la tensión en el Módulo de Adquisición supere los 60 Vpico-a-pico.

• Un transformador de tensión, que proporciona aislamiento entre el equipo y los transductores piezoeléctricos, y que permite amplificar la señal desde los 20 Vpico-a-pico del Módulo de Excitación hasta los 40 Vpico-a-pico en los transductores piezoeléctricos.

• Un descargador de gas, que proporciona protección frente a sobretensiones de corta duración y amplitud mayor de 300 V.

En el prototipo PAMELA v3 se han elegido como TVS unos diodos schottky de alta velocidad y gran manejo de intensidad, como son los incluidos en el chip MMBD4148SE del fabricante Fairchild Semiconductor [Fairchild Semiconductor Inc. 2011]. El transformador de señal elegido ha sido el dispositivo 78250MC del fabricante Murata Power Solutions [Murata Power Solutions Inc. 2011], que proporciona un ancho de banda, comprobado en laboratorio, de 21 kHz a 1 MHz para una señal de 20 Vpp y de 42 kHz a 400 kHz para 40 Vpp de señal.

15V-15V

78250MC

Descargador de gas

Módulo de Excitación

Módulo de Adquisición TVS Transductor piezoeléctrico

Page 127: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 109

El descargador de gas ha sido realizado en circuito impreso, situando dos puntas metálicas de cobre estañado enfrentadas y a una distancia muy pequeña, para, aprovechando la ruptura dieléctrica del aire, desviar por el descargador las tensio-nes de corta duración y amplitud mayor de 300 V. Por último, el Módulo de Adaptación envía las señales adquiridas de los transduc-tores piezoeléctricos hacia el Módulo de Adquisición.

5. Módulo de Adquisición

El Módulo de Adquisición es el encargado de recibir las señales que provienen de los transductores piezoeléctricos, acondicionarlas y filtrarlas, digitalizarlas y alma-cenar las muestras en la memoria RAM del Módulo de Almacenamiento de la ar-quitectura electrónica avanzada. El Módulo de Adquisición, al igual que ocurre con el Módulo de Excitación, se puede dividir en dos submódulos: el Módulo Analógi-co de Adquisición (MAA) y el Módulo Digital de Adquisición (MDA). A continua-ción, se van a analizar las características de ambos submódulos.

5.1 Módulo de Adquisición Analógico

El Módulo de Adquisición Analógico está construido mediante circuitos integrados discretos que se sitúan cerca del dispositivo FPGA. Este módulo se puede dividir en dos etapas:

• un circuito limitador de tensión o clamp, y

• un acondicionadores de señal (front-end) y ADC, integrados todos ellos en el chip AD9273 (en el prototipo PAMELA v3).

5.1.1 Circuito de clamp

El objetivo del circuito limitador de tensión o clamp es hacer que la tensión máxima presente en la entrada del front-end del ADC sea menor que el valor fijado por el fabricante. Dado que la aplicación está sujeta a tensiones transitorias de gran ampli-tud (40 Vpico-a-pico en el transductor piezoeléctrico), se debe proteger la entrada del front-end del circuito de conversión analógico a digital. En la Figura 87 se muestra el esquema electrónico del circuito de clamping necesario. Este circuito tiene la ventaja de que permite la libre oscilación de la tensión en el Módulo de Adaptación, mientras limita la tensión a la entrada del front-end. El puente de diodos permite esa libre oscilación, mientras que los dos diodos en para-lelo y la resistencia de 2k limitan la tensión en la entrada del front-end hasta los ±0,4 V, un valor similar a la tensión máxima de entrada del front-end del AD9273 (±0,35 V). La configuración mostrada tiende a introducir únicamente 2 nV/√Hz de ruido a la entrada del front-end.

Page 128: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

110 Luciano Casado Martínez

Figura 87 – Esquema electrónico del circuito limitador de tensión o clamp para un canal. Las señales provenientes del Módulo de Adaptación se limitan en tensión hasta los ± 0,4 V a la entrada del front end del AD9273 [Analog Devices Inc. 2011] en el prototipo PAMELA v3.

5.1.2 Front-end y ADC

A continuación, las señales limitadas en tensión entran en el front-end del Módulo de Adquisición, que en el prototipo PAMELA v3 se ha realizado mediante el circui-to integrado AD9273 del fabricante Analog Devices Inc [Analog Devices Inc. 2011]. Este dispositivo incluye ocho canales de adquisición de datos, de los que en la Figura 88 se muestra uno de ellos, con sus componentes internos. Estos componen-tes incluyen dispositivos de acondicionamiento de señal, previos a la conversión analógica a digital realizada por el ADC interno.

Figura 88 – Diagrama de bloques simplificado del dispositivo AD9273. En la figura se muestran por simplicidad únicamente los elementos para un canal. Cada canal de adquisición del AD9273 está formado, en primer lugar, por un am-plificador de bajo ruido (LNA) y un amplificador de ganancia variable (VGA). Es-tos dos elementos permiten variar la ganancia de adquisición en el tiempo para compensar las pérdidas en la amplitud de los ecos ultrasónicos debidas a la ate-nuación de las ondas con la profundidad. Además, cada canal de adquisición in-cluye un filtro anti-aliasing paso-bajo (AAF) de 8 MHz de frecuencia de corte y un ADC de 12 bits, que puede funcionar entre 10 MSPS y 40 MSPS, y que en el proto-tipo PAMELA v3 se utiliza a una velocidad fija de 12,5 MSPS. Aunque la frecuencia del filtro anti-aliasing es mayor de la mitad (6,25 MHz) de la frecuencia de mues-treo (12,5 MHz) para cumplir la regla de Nyquist, se considera que entre 6,25 MHz

Hacia la FPGA

Circuito de clamp

AD9273 (un canal)

Módulo de Adaptación

Page 129: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 111

y 8 MHz no va a haber ninguna señal interferente. En los experimentos se ha mos-trado que se cumple este supuesto. Dado que el chip AD9273 integra todos los componentes del front-end de adquisi-ción, el consumo y el espacio ocupado se reducen drásticamente. En PAMELA v3 se utilizando doce canales de adquisición, por lo que se han incluido dos chips AD9273 para construir el Módulo de Adquisición de Señales Analógico.

Las muestras de las señales digitalizadas por el AD9273 se envían a la FPGA Vir-tex-5 de PAMELA v3 a través de buses LVDS serie de alta velocidad. El envío de las muestras de cada canal se realiza mediante una línea LVDS dedicada, teniendo otras dos líneas LVDS en las que se transmiten los relojes de sincronización.

El chip AD9273 dispone de muchos registros de configuración para ajustar los pa-rámetros de funcionamiento internos del chip. Estos registros se configuran utili-zando un bus serie bidireccional SPI de 3,8 MHz. Al igual que ocurría con los DAC (AD9115) en el Módulo Analógico de Excitación, se incluye en el diseño un circuito que convierte el bus bidireccional de 3 líneas en un bus unidireccional de 4 líneas. Por otra parte, el VGA del chip AD9273 dispone de un control de ganancia que se puede ajustar mediante una tensión variable entre 0 V y 1,6 V, donde 0 V corres-ponden a la ganancia mínima y 1,6 V a la ganancia máxima. Para realizar este ajus-te de ganancia se ha utilizado un DAC de 8 bits, en concreto, el chip MAX5535 del fabricante Maxim IC Inc. [Maxim Integrated Circuits 2011], que se configura tam-bién desde la FPGA Virtex-5 utilizando un bus SPI a 3,8 MHz.

Figura 89 – Esquema electrónico del dispositivo MAX5535. Se muestran las dos salidas de los DAC, que se configuran para proporcionar una tensión continua mínima de 0 V y una tensión máxima de 1,6 V. El chip MAX5535 dispone de dos DAC internos que se pueden configurar por se-parado, y que, a la postre, permiten controlar la ganancia de los VGA de los dos chips AD9273 utilizados. Mediante resistencias internas, se han configurado ambos DAC para que sus valores máximos y mínimos sean de 0 V y 1,6 V, como se mues-tra en la Figura 89.

SCLKDIN

CS_GAIN

VGAIN2

VGAIN1

DINSCLKCS

OUTA

GND

VDD

REFOUT

OUTB

FBANC5

FBB

NC11

PAD

4k7

4k7

1V8A

14k7

4k714k7100nF

1V8A

SPI Tensiones variables entre 0 V y 1,6 V

MAX5535

Page 130: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

112 Luciano Casado Martínez

5.2 Módulo Digital de Adquisición

El Módulo Digital de Adquisición recibe las muestras de las señales mediante las líneas LVDS que interconectan los ADC con la FPGA, y realiza el acondicionamien-to y procesamiento de los datos, para guardarlos posteriormente en la memoria RAM del Módulo de Almacenamiento (en PAMELA v3, memoria DDR2 SDRAM). Antes de comentar en detalle el Módulo Digital de Adquisición, conviene mencio-nar que el prototipo PAMELA v3 se encuentra preparado para realizar adquisicio-nes de señales de entre 0 ms y 3 ms, aunque por defecto el tiempo de adquisición se fija a 2 ms, que es el tiempo que se suele necesitar habitualmente en estructuras aeronáuticas. En la Figura 90 se muestra el diagrama de bloques del Módulo Digital de Adquisi-ción. Este dispositivo es el encargado de recibir las señales que provienen de los piezoeléctricos, tras ser digitalizadas por los ADC, y de almacenar las muestras en la memoria DDR2 SDRAM. Además, el Módulo Digital de Adquisición, añade a cada muestra el número de canal al que pertenece, para facilitar al SW el tratamien-to de los datos recibidos. Por último, también permite hacer tanto un filtrado como un diezmado de las muestras.

Figura 90 – Diagrama de bloques del Módulo Digital de Adquisición diseñado dentro de la FPGA Virtex-5 FX70T. Todos los elementos que forman el MDA se han creado utilizando las herramientas de Xilinx dentro del paquete Xilinx Design Suite 10.1. La herramienta principal es el XPS, que define el esqueleto y los puertos del periférico, y es donde se imple-

AD9273

FPGA - Módulo de Adquisición Digital (MAD)

100MHz (LocalLink DMA)

75MHz (Interno)

16

12,5MHz (Interno)

12,5MHz (Interno)

12

12,5MHz (Frame)

12

12,5MHz (Frame)

150MHz (Bit)

Serie a paralelo

Serie a paralelo

Serie a paralelo

Serie a paralelo

FIR

FIR

FIR

FIR

Numerador de canal

Numerador de canal

Numerador de canal

Numerador de canal

MiniFIFO

MiniFIFO

MiniFIFO

MiniFIFO

Payload FIFO

DDR2 RAM

Registros de configuración, control y generación de relojes

16

32 32

ADCCH12

ADCCHi

ADCCH2

ADCCH1

PowerPC

Reloj de conversión para los ADC (12,5MHz)

Relojes de bit y frame

LVDS

LVDS

LVDS

LVDS

Page 131: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 113

mentan el sistema de transmisión por DMA, los registros de usuario para la confi-guración y control de los demás bloques, la distribución de los relojes, y otros. Los filtros y las FIFO se crean mediante el programa CoreGenerator. Las FIFO se im-portan directamente desde el programa XPS y los FIR se importan desde el pro-grama ISE, donde se combinan con los deserializadores y los numeradores de ca-nal. A continuación, se comentan cada uno de las unidades que forman el Módulo Digi-tal de Adquisición, empezando por el Deserialiador y terminando en la Payload FIFO y su conexión con la memoria DDR2 SDRAM del prototipo PAMELA v3.

5.2.1 Deserializador: serie a paralelo

Este bloque se encarga de convertir las muestras de 12 bits de los ADC, las cuales llegan en serie a través de las líneas LVDS, a un bus paralelo de 12 bits. Los datos llegan por líneas diferenciales, por lo que utilizando un buffer diferencial, se con-vierten a modo común en la entrada de la FPGA. En PAMELA v3, este paso no se ha implementado dentro del MDA propiamente dicho, sino incluyendo el corres-pondiente buffer dentro del programa XPS, por lo que se detallará más adelante. Además de los datos, al deserializador también entran dos relojes, denominados reloj de bit (75 MHz) y reloj de frame (12,5 MHz). El reloj de bit se encuentra sin-cronizado en el centro de cada uno de los bits de las muestras serializadas, e indica tanto en el flanco de subida como en el flanco de bajada, la llegada de un nuevo bit, por lo que la frecuencia efectiva de los datos es de 150 megabits. El reloj de frame indica dónde empieza y dónde acaba cada muestra dentro de la hilera de bits que llegan por las líneas LVDS de datos. Como la precisión de las muestras es de 12 bits, la frecuencia del reloj de frame es de 12,5 MHz. Los relojes de bit y de frame se generan en LVDS, por lo que a la FPGA también entran de este modo. En la Figura 91 se representa el esquema de bloques del deserializador. Como los datos llegan en ambos flancos del reloj de bit, se utilizan dos registros de despla-zamiento de seis flip-flop cada uno, para realizar la deserialización (semisr0 y se-misr1). Uno de los dos registros se emplea para los bits impares (bits 1, 3, 5, 7, 9 y 11), en los que se utiliza la señal de reloj de frame en los correspondientes flip-flop para tomar los datos en los flancos de subida, y otro para los pares (bits 2, 4, 6, 8, 10 y 12), en los que se utiliza la señal de reloj de frame negada para tomar los datos en los flancos de bajada.

Page 132: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

114 Luciano Casado Martínez

Figura 91 – Diagrama de bloques del deserializador dentro del Módulo Digital de Adquisición. Tras los registros de desplazamiento, se incluye un bloque simple de interconexión (interconect), que toma los datos a la salida de cada uno de los doce flip-flop y los dispone en un único bus de 12 bits. Este bus de 12 bits se lleva a un registro que funciona con el reloj de frame (deser_reg). El registro deser_reg dispone a su salida los datos del correspondiente canal en un bus de 12 bits y a 12,5 MHz. Los registros de desplazamiento se han creado mediante componentes ya definidos (los flip-flop), mientras que el bloque de interconexión y el registro final se han im-plementado en VHDL.

5.2.2 Filtros FIR

Para eliminar las posibles componentes frecuenciales no deseadas en las señales recibidas, se incluyen unos filtros FIR tras el deserializador. Para implementarlos, se ha utilizado la herramienta Core Generator incluida dentro de la suite ISE. A su vez, para obtener los coeficientes, se ha utilizado la aplicación de diseño de filtros FDATool, que se encuentra incluida dentro del Signal Processing Toolbox de Ma-tlab. Se han diseñado cuatro modelos de filtro, por lo que se han generado cuatro gru-pos de coeficientes para todos ellos. El orden de los filtros se ha fijado en 16 (17 co-eficientes), buscando un compromiso entre el número de celdas DSP48 a utilizar en la FPGA Virtex-5 FX70T y la calidad del filtrado. Asimismo, se ha tenido en cuenta a la hora de seleccionar las opciones de configuración del filtro, que los datos pro-porcionados por los ADC AD9273 llegan en complemento a 2. A continuación, se detallan cada uno de los cuatro filtros que se han diseñado en el prototipo PAMELA v3:

• Filtro plano. Como se muestra en la Figura 92, se trata de un filtro con res-puesta plana en frecuencia, es decir, que deja pasar todas las componentes frecuenciales por igual y sin atenuación. En este caso, las muestras no nece-sitarían atravesar este filtro, puesto que no afecta frecuencialmente a las se-

Page 133: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 115

ñales ultrasónicas que se van a utilizar en el análisis estructural. Sin embar-go, se ha optado por esta solución para que las muestras sufran el mismo re-tardo en todos los casos, ya que el orden es el mismo en todos los filtros.

Figura 92 – Curva del filtro plano, donde se observa la respuesta plana para todas las frecuencias.

• Filtro paso-bajo de 6,25 MHz. Como se representa en la Figura 93, se trata de un filtro paso-bajo con una frecuencia de paso de 4,3 MHz y con una fre-cuencia de corte de 6,25 MHz (a 3 dB), que atenúa en gran medida las com-ponentes superiores a 6,25 MHz. Este filtro es adecuado cuando hay ruido de alta frecuencia (en torno a 6,25 MHz o superior) y/o cuando no se em-plea diezmado (esta característica se verá más adelante).

Figura 93 – Curva del filtro paso-bajo número 1, donde se observa la frecuencia de paso de 4,3 MHz y la frecuencia de corte de 6 MHz.

Page 134: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

116 Luciano Casado Martínez

• Filtro paso-bajo de 3,125 MHz. Como se muestra en la Figura 94, con este filtro paso-bajo la frecuencia de paso se sitúa en 2,5 MHz y la frecuencia de corte en 3,5 MHz (3 dB de atenuación), por lo que atenúa en gran medida las componentes superiores a 3,5 MHz. Este filtro es adecuado cuando hay rui-do de alta frecuencia (en torno a 3,5 MHz o superior) y/o cuando se utiliza un diezmado por dos.

Figura 94 – Curva del filtro paso-bajo número 2, donde se observa la frecuencia de paso de 2,5 MHz y la frecuencia de corte de 3,5 MHz.

• Filtro paso-bajo de 800 kHz. Como se representa en la Figura 95, este último filtro también es un filtro paso-bajo, con una frecuencia de paso de 300 kHz y una frecuencia de corte de 800 kHz (3 dB de atenuación), por lo que aten-úa en gran medida las componentes superiores a 800 kHz. Este filtro es ade-cuado cuando hay ruido de frecuencias superiores a 800 kHz y/o cuando se utiliza un diezmado por dos o por cuatro.

Figura 95 – Curva del filtro paso-bajo número 3, donde se observa la frecuencia de paso de 300 kHz y la frecuencia de corte de 800 kHz.

Page 135: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 117

Las muestras de las señales a la entrada de los filtros son de 12 bits, pero los filtros FIR proporcionan a la salida un bus de 13 bits. Por ello, como se está trabajando en complemento a dos, se elimina el bit más significativo (el bit de signo, el bit núme-ro 13). De esta manera, el bit de signo pasa a ser el número 12 (ver Tabla 3).

B13 B12 B11 …

1

1

1 …

0

0

0

0

0

0 0 …

1 … 1

1 0 …

1

1

1

1

0

0

Tabla 3 – Eliminación del bit 13 a la salida de los filtros FIR y truncado de las muestras a 12 bits, para evitar valores imprevistos en la salida de los filtros. Para evitar posibles imprevistos, en el hipotético caso en el que el filtro proporcione a la salida un nivel bajo (‘0’) en el bit 13 y un nivel alto (‘1’) como bit 12, se truncan las muestras a 0x7FF (valor más positivo, en complemento a 2). Asimismo, en el caso de recibir un nivel alto (‘1’) como bit 13 y un ‘0’como bit 12, se truncan las muestras a 0x800 (valor más negativo, en complemento a 2).

5.2.3 Numerador de canal

Una vez filtradas, las muestras de 12 bits de las señales se llevan al bloque Nume-rador de canal, donde se les añade una cabecera de 4 bits en la que se indica el nú-mero de canal al que pertenecen. De esta manera, al SW de procesamiento le resul-ta más sencillo separar y procesar las muestras de los distintos canales en una etapa posterior. Este bloque se ha implementado en lenguaje VHDL.

5.2.4 MiniFIFO

Tras el bloque Numerador de canal, las muestras de cada canal se guardan en una pequeña FIFO, que se ha denominado MiniFIFO. Esta memoria tiene como objetivo absorber las posibles diferencias de frecuencia que puedan existir entre los relojes de frame proporcionados por los ADC y los relojes generados internamente en la FPGA para sincronizar la lógica del Módulo Digital de Adquisición, que no debe depender de los relojes de bit y de frame. Estas miniFIFO se crean con el programa Core Generator de Xilinx. Puesto que tie-nen la utilidad de adaptar los relojes, su tamaño se ha fijado en 16 palabras de ca-pacidad (el mínimo posible), para no utilizar demasiados recursos dentro de la

Page 136: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

118 Luciano Casado Martínez

FPGA. Lógicamente, el tipo de FIFO creada es de relojes independientes para lectu-ra y escritura, siendo el reloj de escritura el reloj de frame proporcionado por los ADC (12,5 MHz) y teniendo como reloj de lectura un reloj interno de 12,5 MHz sincronizado con la lógica interna que está a continuación de la MiniFIFO. El tama-ño de palabra es de 16 bits, que es el ancho del bus a la salida del bloque Numera-dor de canal. Por otra parte, se ha configurado la MiniFIFO para añadir una señal de “almost empty” (casi vacía) que se activa siempre que en la MiniFIFO haya cuatro muestras o menos. De esta manera, la lectura de la MiniFIFO se hace únicamente cuando la memoria tiene al menos cuatro muestras. Asimismo, la lectura se detiene cuando restan menos de cuatro muestras en la MiniFIFO, evitando que la MiniFIFO pueda quedar vacía en mitad de un ensayo y que pudiese haber un fallo de lectura. Este aspecto tiene el inconveniente de que las últimas cuatro muestras de cada canal se quedan en las MiniFIFO sin transmitirse. Sin embargo, en caso de necesitar más muestras, ese problema es fácilmente solucionable aumentando ligeramente el tiempo del ensayo. En el caso en el que el reloj interno de lectura de la MiniFIFO fuese algo más lento que el reloj de frame externo que se utiliza como escritura y que es proporcionado por los ADC, podría llegar un momento en el que las MiniFIFO se llenasen y se perdiesen muestras. Sin embargo, el reloj de frame que proporcionan los ADC se genera a partir del reloj de conversión de 12,5 MHz que se genera dentro de la FPGA, por lo que las frecuencias son prácticamente idénticas. De hecho, las prue-bas realizadas así lo demuestran y las MiniFIFO no llegan nunca a desbordarse. Dentro del bloque de las MiniFIFO, se incluye además la posibilidad de realizar un diezmado de las señales. Este diezmado funciona en conjunción con los filtros FIR, para reducir el tamaño que ocupan las señales en memoria RAM cuando la fre-cuencia de muestreo efectiva puede reducirse. El sistema de diezmado se imple-menta mediante el control de la señal de habilitación de escritura de las miniFIFO.

5.2.5 Payload FIFO

Después de las MiniFIFO, el siguiente paso en la cadena de adquisición es el alma-cenamiento de las muestras en la Payload FIFO. Hasta este punto, las muestras de cada uno de los canales se llevan por caminos independientes. Es en la Payload FIFO donde se ponen en común todos los canales para ser transmitidos por un úni-co canal LocalLink de DMA hacia la memoria DDR2 SDRAM. En efecto, las mues-tras de todos los canales se concatenan mediante una función de multiplexión y se introducen en la Payload FIFO ante de la transmisión por DMA mediante el bus LocalLink. La especificación LocalLink de Xilinx utiliza un bus de 32 bits de datos. Por ello, se ha generado la Payload FIFO con un ancho de palabra de 32 bits. Como las mues-tras de cada canal en las miniFIFO son de 16 bits, se concatenan las muestras de todos los canales de dos en dos para formar palabras de 32 bits, como se muestra en la Tabla 4.

Page 137: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 119

Palabra Payload FIFO 16 bits superiores 16 bits inferiores

Palabra 1 Canal1 Canal2 Palabra 2 Canal3 Canal4 Palabra 3 Canal5 Canal6 Palabra 4 Canal7 Canal8 Palabra 5 Canal9 Canal10 Palabra 6 Canal11 Canal12 Palabra 7 Canal1 Canal2

… … …

Tabla 4 – Disposición de las muestras dentro de la Payload FIFO después de su concatenación.

La Payload FIFO realiza la función de buffer entre la lógica anterior a la Payload FIFO y la transmisión por DMA mediante LocalLink. Como se ha comentado con anterioridad, el ancho de palabra de la FIFO es de 32 bits y se ha escogido un ta-maño de 128 palabras, buscando un compromiso entre el tamaño de memoria nece-sario y los recursos utilizados en la FPGA. Al igual que ocurría en las MiniFIFO, la Payload FIFO también se ha configurado con relojes independientes de lectura y escritura, puesto que tiene que adaptar la frecuencia de escritura de la lógica (75 MHz = 12,5 MHz × 6) y la frecuencia de lec-tura del bus LocalLink (100 MHz). En este caso, no hay ningún inconveniente en cuanto a que la Payload FIFO se pueda desbordar, dado que el reloj de lectura es siempre más rápido que el de escritura. Igualmente, se ha configurado la Payload FIFO con una señal de “almost empty” que, en este caso, está activa siempre que en la FIFO haya 20 muestras o menos. Controlando esta señal se consigue que siempre haya un cierto número de mues-tras disponibles en la FIFO, para evitar posibles problemas. No obstante, en este caso no hay problema a la hora de leer las últimas muestras del ensayo, puesto que en la máquina de estados que controla la transferencia por LocalLink, se ha con-templado que una vez finalizado el ensayo se sigan leyendo los datos de la Payload FIFO hasta que ésta se vacíe.

5.2.6 Sistema de transmisión LocalLink

El LocalLink es una especificación para transferencias por DMA, es decir, transfe-rencias de datos desde un dispositivo a memoria, sin la intervención del procesa-dor. De las múltiples opciones disponibles para realizar una transferencia DMA, se ha utilizado el LocalLink por ser la solución que mayor rendimiento ofrece, puesto que permite dedicar un bus y un HW en exclusiva para un determinado periférico. Por ello, también se suele denominar HDMA (Hard DMA). Otras soluciones utili-zan el bus PLB para la transferencia de datos, que es compartido por los demás periféricos, viéndose reducido el ancho de banda disponible para la transmisión. La FPGA utilizada en el prototipo PAMELA v3 dispone de un bloque procesador PowerPC 440 embebido. La Figura 96 muestra el diagrama de bloques del procesa-

Page 138: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

120 Luciano Casado Martínez

dor utilizado. En ella se aprecian los cuatro dispositivos HDMA (DMA engines) disponibles, controlados por el crossbar. En el diseño de PAMELA v3 sólo se utili-zan dos de ellos: uno para el Módulo Digital de Adquisición y otro para las comu-nicaciones por Ethernet. Además, también se muestra el interfaz con memoria Memory Controller Interface (MCI), al cual se conecta la RAM DDR2 externa y a la que van dirigidos los datos enviados por el MDA.

Figura 96 – Diagrama del procesador embebido PowerPC 440 en la FPGA Virtex-5 FX70T [Xilinx 2011b]. En el proceso de transmisión por LocalLink, las muestras almacenadas en la Paylo-ad FIFO viajan a uno de los motores DMA y, de ahí, a la memoria DDR2 SDRAM, con el control del crossbar (X) integrado en la FPGA. Los interfaces LocalLink son interfaces bidireccionales, con un canal TX para el sentido HDMA a periférico y un canal RX para el sentido periférico a HDMA. No obstante, en el caso de la transfe-rencia de las muestras del Módulo Digital de Adquisición, sólo es necesario un sen-tido en la comunicación, desde el periférico hacia el HDMA, conocido como canal RX según la especificación LocalLink. En la Figura 97 se muestra el diagrama del interfaz RX del LocalLink, donde se puede apreciar el conjunto de señales implica-das en el proceso de transmisión.

Page 139: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 121

Figura 97 – Diagrama del interfaz RX del LocalLink [Xilinx 2011b]. El la Tabla 5 se incluye una lista de las señales del interfaz LocalLink en el sentido RX. Nombre de Señal Dirección Descripción

DST_RDY_N Destino a Fuente Destination Ready: Indica que el destino (HDMA) está preparado para recibir datos o tratar señales de control. Señal activa a nivel bajo.

SRC_RDY_N Fuente a Destino

Source Ready: indica que la fuente (Módulo de Adquisición Digital) está preparada para enviar datos o tratar señales de control. Señal activa a nivel bajo.

DATA[0:31] Fuente a Destino Data Bus: bus de datos emitido por la fuente. La trama de datos se transmite por este bus.

SOF_N Fuente a Destino Start Of Frame: indica el ciclo de reloj que contie-ne el inicio de la trama. Señal activa a nivel bajo.

EOF_N Fuente a Destino End Of Frame: indica el ciclo de reloj que contiene el fin de la trama. Señal activa a nivel bajo.

SOP_N Fuente a Destino Start Of Payload: indica el ciclo de reloj que con-tiene el inicio de la carga útil de la trama. Señal activa a nivel bajo.

EOP_N Fuente a Destino End Of Payload: indica el ciclo de reloj que con-tiene el fin de la carga útil de la trama. Señal acti-va a nivel bajo.

REM[0:3] Fuente a Destino End-of-frame Remainder Bus: se utiliza para indi-car la posición del último byte de la trama en una palabra cuando se activa la señal EOF_N.

Tabla 5 – Lista de señales utilizadas en el interfaz LocalLink en el sentido RX. Una trama RX está formada por tres partes: una cabecera, la carga útil y una cola. Para transmitir cualquiera de las tres partes, tanto la señal DST_RDY_N como SRC_RDY_N tienen que estar a nivel bajo. Como la cabecera es irrelevante para el canal RX, se ignora lo que ocurre cuando la señal SOF_N está a nivel bajo.

Page 140: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

122 Luciano Casado Martínez

La carga útil comienza a transmitirse al HDMA cuando SOP_N se pone a nivel ba-jo, lo cual se controla desde el MDA. La carga útil se continúa transmitiendo hasta que EOP_N se pone a nivel bajo, lo que también viene controlado por el periférico. En este caso, la señal REM es siempre igual a “0000” puesto que, como los canales son pares y se multiplexan de dos en dos con 16 bits cada uno, los 32 bits de todas las palabras de la Payload FIFO son siempre válidos. La señal SRC_RDY_N se pone a nivel bajo cuando se está transmitiendo la cabece-ra, la carga útil o la cola. En caso contrario, se mantiene a nivel alto. Esta señal tam-bién es controlada por el Módulo de Adquisición Digital. Para la gestión de todas estas señales y alguna otra más, se ha creado una máquina de estados (Figura 98).

Figura 98 – Máquina de estados para el LocalLink del Módulo de Adquisición Digital. A continuación, se describen brevemente cada uno de los distintos estados:

• IDLE: se trata del estado inicial, donde se resetean varios registros impli-cados. En este estado, SRC_RDY_N se pone a nivel alto (el Módulo de Adquisición Digital no está preparado para enviar datos) y se deshabilita la lectura de datos de la Payload FIFO. Este estado se queda esperando a que le llegue el FLAG=1, que indica que el ensayo está en curso. En dicho caso, se pasa al estado WRITE_FIFO.

• WRITE_FIFO: en este estado se habilita la escritura de datos en la Paylo-

ad FIFO y se deshabilita la lectura. Además, se comprueba si ya se ha transmitido la cabecera. Si aún no se ha hecho, se pasa a hacerlo en el es-

IDLE WRITE_FIFO

RX_SOF

RX_SOP

WR_RD_FIFO

READ_FIFO

FOOTER

FLAG=0

FLAG=1

RX_START=0

RX_START=1 & FLAG=0

RX_START=1 & FLAG=1

& FIFO_PROG_EMPTY=0

DST_RDY_N=0

DST_RDY_N=1

DST_RDY_N=1

DST_RDY_N=0

DST_RDY_N=0 & FLAG=1

& FIFO_PROG_EMPTY=1

DST_RDY_N=1 | (DST_RDY_N=0

& FLAG=1 & FIFO_PROG_EMPTY=0)

DST_RDY_N=0 & FLAG=1

DST_RDY_N=1 | (DST_RDY_N=0 & FIFO_EMPTY=0)

DST_RDY_N=0 & FIFO_EMPTY=1

! (DST_RDY_N=0 & FOOTER_CNT=7)

RX_START=1 & FLAG=1

& FIFO_PROG_EMPTY=1

DST_RDY_N=0 & FOOTER_CNT=7

Page 141: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 123

tado SOF. En caso de ya haberse realizado, se permite que la Payload FIFO se vaya llenando hasta que tenga, al menos, 20 palabras almacena-das (hasta que FIFO_PROG_EMPTY=0). Cuando llega a 20 palabras, se pasa al estado WR_RD_FIFO. Si llega la señal que indica que el ensayo no está en curso (FLAG=0), se pasa al estado READ_FIFO, en el cual se vac-ían los datos que aún queden en la FIFO.

• SOF: en este estado se transmite la cabecera al HDMA. Como la cabecera

es ignorada en el canal RX, se utiliza un valor constante para la señal de datos (0xDEADBEEF). La señal SOF_N se pone a nivel bajo indicando que comienza la trama. Si el destino no está preparado para recibir los datos (señal DST_RDY_N a nivel alto) se queda en el mismo estado. Si lo está, se pasa al estado SOP.

• SOP: este estado indica que ha comenzado a transmitirse la trama y se

indica con la señal SOP_N a nivel bajo. En este estado es donde se co-mienzan a transmitir los primeros 32 bits de datos desde el Módulo de Adquisición Digital hacia el HDMA. Si el destino está preparado (señal DST_RDY_N a nivel bajo), se pasa al estado WRITE_FIFO. En caso con-trario, se mantiene en este estado.

• WR_RD_FIFO: en este estado se escribe y se lee en la Payload FIFO, es

decir, las señales de habilitación de lectura y escritura están a nivel alto. A este estado se llega cuando en la Payload FIFO hay más de 20 palabras almacenadas. Como el reloj de lectura es más rápido que el de escritura, en algún momento volverá a haber menos de 20 palabras y se volverá al estado WRITE_FIFO, donde se volverá a llenar la Payload FIFO, y así su-cesivamente mientras la señal FLAG que indica que el ensayo está en curso esté a nivel alto. Si la señal FLAG cambia a nivel bajo en este esta-do, se pasa a READ_FIFO para vaciar los últimos datos.

• READ_FIFO: en este estado, se habilita la señal de lectura de la FIFO pe-

ro, como el periodo del ensayo ya ha concluido, se deshabilita la señal de escritura, haciendo que la Payload FIFO se vacíe. Después de leer el últi-mo dato, se pone a nivel bajo la señal EOP_N y se pasa al estado FOOTER.

• FOOTER: en este último estado se transmite la cola de la trama, la cual

dura 8 ciclos de reloj. Cuando se llega al octavo ciclo, se pone la señal EOF_N a nivel bajo indicando que se ha terminado de transmitir la tra-ma, y se vuelve al estado IDLE, completándose el proceso.

6. Módulo de Detección de Impactos

Mediante este módulo es posible detectar impactos en la estructura que se está mo-nitorizando. Para ello, el Módulo de Detección de Impactos cuenta con un trigger analógico que se fija en un nivel de tensión seleccionable entre 0 V y 14,94 V. Este

Page 142: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

124 Luciano Casado Martínez

nivel de tensión fija el nivel de disparo a partir del cual se realizará la detección de impactos. El nivel de detección se establece mediante un potenciómetro digital del fabricante Analog Devices Inc., en concreto el chip AD5290. En la Figura 99 se muestra el esquema electrónico de este dispositivo.

Figura 99 – Esquema electrónico del potenciómetro digital AD5290.

El chip AD5290 se configura utilizando un bus SPI de 3,8 MHz de tipo unidireccio-nal. Al tratarse de un potenciómetro digital de 8 bits, el nivel de referencia deseado se puede fijar con una precisión de 256 pasos.

El nivel de tensión fijado por el AD5290 sirve para fijar un nivel de referencia para los comparadores utilizados en la siguiente etapa. Como dispositivos comparado-res se ha elegido el chip LM2901 del fabricante Texas Instruments Inc. [Texas Ins-truments 2011], que contiene en su interior 4 comparadores. Por tanto, se han utili-zado tres dispositivos LM2901 para analizar los doce canales del prototipo PAMELA v3. En la Figura 100 se representa el conexionado de uno de estos com-paradores.

Figura 100 – Esquema electrónico de un comparador de los cuatro incluidos en el chip LM2901. El dispositivo compara la amplitud de la señal presente en cada canal con la referencia de tensión, de cara a determinar si se produce algún impacto en la estructura.

Hacia la FPGA

REF_VOLTAGE

1M

15V

10k

-

+

10k

CANALx

2V5

SPI_SDI

AD5290

VDD

SDI

SDO

CS

SCLK

VSS

A

B

W

GND

100nF

SPI_CS

4k7

3V3

15V

15V

10uF

REF_VOLTAGESPI_SCLK

Page 143: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 125

Los comparadores se utilizan para cotejar las señales presentes en todos los canales con el nivel de referencia seleccionado (REF_VOLTAGE). Si en cualquiera de los canales aparece una señal de amplitud mayor que el nivel de referencia, se acti-varán las salidas del comparador para avisar a la FPGA de este evento. La lógica que gestiona la señal de los comparadores se ha incluido dentro del Mó-dulo de Procesamiento y Control. En dicho módulo, las señales de todos los com-paradores se unen en una puerta OR, de modo que si cualquiera de los comparado-res detecta una señal de amplitud mayor que la referencia de tensión, se avisa al procesador PowerPC de tal evento. La detección de los impactos se realiza en un breve espacio de tiempo gracias al tratamiento de este aviso como interrupción hardware. La lógica que gestiona las señales de los comparadores y genera las inte-rrupciones correspondientes, se mostrará en detalle en el apartado 7.2. Cuando se habilita el Módulo de Detección de Impactos, el sistema SHM se man-tiene en modo de detección de impactos, adquiriendo señales de manera continua a través de los transductores piezoeléctricos. Cuando se produce un impacto, el Mó-dulo de Detección de Impactos genera una interrupción hacia el procesador Po-werPC 440 incluido en el dispositivo FPGA, para que mantenga una cantidad de-terminada de muestras de las señales previas al impacto, y permita la adquisición de una cantidad de muestras posteriores al impacto. El análisis de las señales ante-riores y posteriores al impacto permite determinar la posición aproximada en la que se ha dado el impacto. La realización posterior de ensayos de integridad es-tructural permitirá determinar si el impacto registrado ha producido algún tipo de daño en la estructura.

7. Módulo de Procesamiento y Control

El Módulo de Procesamiento y Control (MPC) está basado en un microprocesador PowerPC 440, que se encuentra embebido dentro la FPGA Virtex 5 FX70T, e incluye una cierta lógica de control auxiliar que se encarga de gestionar los ensayos desde su comienzo hasta su fin. El procesador PowerPC gestiona los recursos del sistema y se comunica con el resto de módulos del sistema. Por su parte, la lógica de con-trol auxiliar gestiona todos los estados por los que pasa el resto de la lógica del sis-tema durante un ensayo de monitorización de integridad estructural. La lógica de control se ha construido dentro de un periférico que se encuentra conectado al pro-cesador PowerPC, por lo que se comentará al final de este apartado.

7.1 Procesador PowerPC 440

El procesador PowerPC 440 se trata de un microprocesador RISC de 32 bits que está interconectado con otros elementos del sistema, como la memoria, los periféri-cos, los motores DMA, y otros (Figura 101).

Page 144: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

126 Luciano Casado Martínez

Figura 101 – Bloque embebido del procesador PowerPC 440 en la FPGA Virtex5 FX70T. El bloque incluye varios sistemas de interconexión, para permitir la conectividad con otros elementos del sis-tema [Xilinx 2011b]. Las principales características del microprocesador PowerPC 440 son las siguientes:

• Microprocesador RISC de 32 bits con una potencia de 1,100 DMIPS a 550MHz de frecuencia.

• Incluye un crossbar switch de 128-bit que minimiza la latencia y habilita co-nexiones punto a punto con otros elementos del sistema.

• Acceso simultáneo al bus de memoria y al bus local del procesador (PLB). • Motores DMA integrados, interfaces PLB y un interfaz de memoria dedica-

do. • Controlador Auxiliary Processor Unit (APU) integrado para poder utilizar

una unidad de punto flotante para operaciones de ese tipo.

En la Figura 102 se muestra el diseño del sistema alrededor del procesador Po-werPC 440 en el equipo PAMELA v3. Los componentes principales digitales de PAMELA v3 están interconectados con el bloque procesador PowerPC 440 utili-zando los buses disponibles:

• La unidad de punto flotante se conecta al APU Controller.

• El controlador de Ethernet se conecta mediante DMA al bloque procesa-dor.

• La memoria DDR2 SDRAM se conecta al Controlador de Memoria DDR2, que a su vez se conecta al Controlador de Memoria del bloque procesa-dor PowerPC 440.

• El resto de módulos, como el MDA, el MDE, controlador JTAG, controla-dor memoria Flash, etc. se conectan al bus PLB a través de la línea Master PLB del bloque procesador PowerPC 440.

• El Módulo de Adquisición Digital (MAD) también se conecta a un motor DMA para enviar las muestras de las señales digitalizadas directamente a la memoria DDR2 SDRAM.

Page 145: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 127

• El bloque Control comprende la lógica de control de los ensayos y se co-mentará en el apartado 7.2.

Figura 102 – Diagrama general de la lógica implementada dentro de la FPGA Virtex-5 FX70T, donde el elemento central es el procesador PowerPC 440, al que se conecta el resto de la lógica de PAMELA v3.

7.2 Lógica de Control de Ensayos

Como se ha comentado anteriormente, además del procesador PowerPC 440, el Módulo de Procesamiento y Control también incluye cierta lógica de control que gestiona la realización de los ensayos de monitorización estructural. Esta lógica se ha construido mediante recursos lógicos de la FPGA en un periférico, denominado Control, que se conecta al bus PLB del bloque procesador PowePC440. En este pe-riférico se concentran funciones como la temporización, los controladores de reset y de alimentación o la máquina de estados que gestiona los ensayos, entre otros. Dentro de la lógica de control de ensayos se han implementado una serie de proce-sos necesarios, entre los que destacan los siguientes:

• Proceso para el Módulo de Detección de Impactos. Este proceso activa el circuito de Detección de Impactos y conecta las salidas de los cuatro comparadores al bus de interrupciones cuando el modo de detección de impactos se en-cuentra habilitado en PAMELA v3. De esta manera, cuando se produzca un impacto que genere un nivel de tensión superior al de referencia, el proce-sador PowerPC 440 recibe una interrupción, que tratará adecuadamente. En caso de que el modo de detección de impactos esté deshabilitado, se desco-necta la interrupción asociada.

• Proceso de gestión para el modo Pitch-Catch. En este modo se conectan dos PAMELA v3 para realizar un ensayo combinado y sincronizado en una es-tructura que dispone de rigidizadores u otros elementos en su interior. Los

Floating point unit

Flash MDE MDA JTAG

MPLB

Ethernet controller

Ethernet PHY

Control

DDR2 Memory Controller

DDR2 Memory

FPGA Virtex-5 FX70T

Page 146: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

128 Luciano Casado Martínez

equipos PAMELA v3 se sitúan a ambos lados del obstáculo, uno de ellos funcionando en modo Pitch (excitar y adquirir señales) y el otro en modo Catch (sólo adquirir señales). Para implementar el modo Pitch-Catch en PAMELA v3 se utiliza un pin disponible en el conector de los transductores piezoeléctricos. El equipo PAMELA v3 en modo Pitch envía un aviso a tra-vés de dicho pin al equipo PAMELA v3 que se encuentra en modo Catch, de modo que ambos inician en el mismo instante de tiempo el ensayo.

Además de los procesos anteriores, la Lógica de Control de Ensayos incluye una Máquina de Estados que gestiona la realización de ensayos de PAMELA v3. En este aspecto, controla las señales necesarias para iniciar y finalizar un ensayo, de forma que el Módulo de Excitación y el Módulo de Adquisición estén sincronizados en todo momento. Para la gestión de las señales implicadas en la temporización de los ensayos, se ha creado una máquina de estados, cuyo diagrama de flujo puede ob-servarse en la Figura 103.

Figura 103 – Diagrama de flujo de la máquina de estados que gestiona la temporización de los ensa-yos. Para no sobrecargar el dibujo de la máquina de estados, se ha omitido el hecho de que siempre que se ejecuta un reset del periférico (RESET=1) en cualquiera de los estados, se pasa automáticamente al estado PARO. A continuación se detallan cada uno de los estados de la máquina de estados:

• PARO. Este es el estado inicial en el que se encuentra PAMELA v3 antes de que comience un ensayo. En este estado se establece el valor por defecto de muchos registros, completando un reset del sistema que lo deja preparado para un ensayo. En este estado conviene diferenciar dos posibles situaciones que se producen en el caso de un ensayo Pitch-Catch. Si PAMELA v3 se en-cuentra en modo normal o en modo Pitch, cuando el PowerPC escribe un 1 en el registro INICIO_ENSAYO se pasa al estado ESPERA. En caso de en-contrarse PAMELA v3 en el modo Catch, cuando llega la señal PITCH_CATCH_READ=0 se pasa al estado ESPERA (la señal que PITCH_CATCH_READ la envía el equipo PAMELA v3 que se encuentra en

PARO ESPERA

START

FIN

INICIO_ENSAYO=1

INICIO_ENSAYO=1

CLK_DCM_LOCKED=0

PITCH_CATCH_READ=0

CLK_DCM_LOCKED=1

INICIO_ENSAYO=0

FIN_ENSAYO=0

FIN_ENSAYO=1

Page 147: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 129

modo Pitch). Este caso se ha representado con una flecha azul discontinua en la Figura 103.

• ESPERA. Cuando el PowerPC, en modo normal y modo Pitch, u otra PAMELA v3 a través del pin utilizado para tal fin en el conector de los pie-zoeléctricos, envían la orden de iniciar un ensayo, previamente hay que ini-ciar los relojes del Módulo de Excitación y del Módulo de Adquisición, los cuales están apagados para disminuir el consumo cuando no son necesarios. Para ello se pone a nivel bajo el reset del generador de relojes de cada módulo y se espera hasta que dicho bloque proporciona la señal de relojes válidos (CLK_DCM_LOCKED=1) para pasar al estado START. En este esta-do, si PAMELA v3 se encuentra en modo Pitch, se pone a nivel bajo el pin del modo Pitch-Catch para indicar a la PAMELA v3 en modo Catch que se va a comenzar el ensayo. De esta manera, ambas realizan el ensayo de forma síncrona.

• START. En este estado se inicia el ensayo propiamente dicho, es decir, la ge-neración de señales por parte del Módulo de Excitación y la recogida de muestras llevada a cabo por el Módulo de Adquisición. Este estado se man-tiene hasta que se recibe la señal FIN_ENSAYO=1, la cual es proporcionada por el Módulo de Adquisición una vez que se alcanza el tiempo de ensayo programado (por defecto, 2 ms).

• FIN. Este estado indica que se ha llegado al final de un ensayo. Además, en el estado FIN, se desactiva la generación de relojes.

8. Módulo de Almacenamiento

El Módulo de Almacenamiento está compuesto por varios tipos de memorias de almacenamiento, que permiten almacenar los datos y programas necesarios para el correcto funcionamiento del sistema. En los siguientes subapartados se detallan cada uno de los módulos de memoria incluidos en la arquitectura electrónica avan-zada y la elección de componentes para el prototipo PAMELA v3, así como su pro-pósito.

8.1 Memoria RAM

La memoria DDR2 SDRAM en PAMELA v3 se utiliza para almacenar los datos necesarios para la ejecución del firmware de PAMELA v3 y el software de análisis ejecutado por el Módulo de Procesamiento, así como otros datos necesarios para el funcionamiento del equipo, como las muestras de las señales adquiridas por el Módulo de Adquisición. El prototipo PAMELA v3 dispone de 512 MBytes de memoria DDR2 SDRAM [JEDEC 2009] que funciona a un máximo de 266 MHz, transfiriendo 533 millones de datos por segundo, dado que este tipo de memoria trabaja tanto en el flanco de subida como en el de bajada del reloj. Los 512 MBytes de memoria están distribui-dos en 2 módulos de memoria de 256 Mbytes y 16 bits cada uno, en concreto, el

Page 148: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

130 Luciano Casado Martínez

modelo MT47H128M16HG-3 IT de la compañía Micron Technology, Inc. [Micron Technology 2011]. Ambos módulos están organizados como 16 Mb × 16 × 8 bancos (2 Gb en total). En la Figura 104 se observa la conexión entre el controlador de me-moria DDR2 de la FPGA y los módulos de memoria.

Figura 104 – Interfaz DDR2 SDRAM en PAMELA v3. Se han utilizado dos módulos de 2 Gb y 16 bits de bus de datos para lograr un sistema de memoria de 4 Gb (512 Mbytes) y 32 bits de bus de datos. Los módulos de memoria DDR2 SDRAM se han dispuesto en paralelo para con-formar un bus de datos efectivo de 32 bits, que duplica el ancho de banda efectivo de un interfaz de 16 bits. Este aspecto es fundamental debido a que el ancho de banda necesario para enviar a memoria las muestras adquiridas por el Módulo de Adquisición es grande:

MBpsmuestra

bytes

canals

muestrascanalesBWTX 300

2105,1212

6

=

⋅⋅⋅= Ecuación 32

Según la anterior ecuación, en el envío de las muestras adquiridas por el Módulo de Adquisición a la memoria DDR2 utilizando el interfaz DMA, PAMELA v3 debe disponer de un ancho de banda mayor de 300 MBps (Mega Bytes por Segundo).

Virtex-5 FX70T (DDR2 Memory Controller)

128 Mb × 16 DDR2 SDRAM

128 Mb × 16 DDR2 SDRAM

ODT0

Data [15:0] Addr [13:0] BA [2:0] CLK0_P/N CSn LDQS0_P/N UDQS0_P/N UDM0 LDM0 CLKEN WEn RASn CASn

Addr [13:0] BA [2:0]

CLK1_P/N CSn

LDQS1_P/N UDQS1_P/N UDM1 LDM1

CLKEN WEn RASn CASn

ODT1

Data [31:16]

Page 149: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 131

Por otra parte, el ancho de banda de la interfaz DDR2 SDRAM con el PowerPC 440 viene determinado aproximadamente por el rendimiento de las memorias DDR2 SDRAM, que funcionan a 266 MHz, según la ecuación:

MBpsBytesrelojdeciclopordatosMHzBW 106422266 =⋅⋅= Ecuación 33 Sin embargo, este ancho de banda es únicamente teórico. Un motor DMA que transfiere datos desde un periférico (en este caso, el Módulo de Adquisición) hacia la memoria DDR2 SDRAM, va a tener un ancho de banda efectivo que va a ser me-nor [Xilinx 2008]. El documento anterior calcula de manera práctica el ancho de banda efectivo del que dispone un periférico que se conecta al motor DMA del Po-werPC 440. En las pruebas realizadas, el ancho de banda total oscila entre los 394 MBps y los 476 MBps, dependiendo de cómo se optimice la transmisión. A la vista de los datos, podemos concluir que con el diseño de memoria DDR2 SDRAM presentado en este documento de tesis, es posible transmitir directamente a memoria DDR2 SDRAM las señales muestreadas (12 canales) por el Módulo de Adquisición a 12,5 MSPS. Esta afirmación se ha validado con el propio sistema PAMELA v3 en funcionamiento. Por otra parte, dentro del circuito impreso, el diseño de la interfaz DDR2 SDRAM se ha llevado a cabo teniendo en cuenta consideraciones de integridad de señal, debido a la alta frecuencia a la que debe trabajar el interfaz de memoria, 266 MHz en doble flanco (533 millones de datos por segundo). Se han tenido en cuenta las recomendaciones del fabricante [Micron Technology 2008] en cuanto a terminacio-nes serie y paralelo de las líneas y en cuanto a la reducción de la diferencia de lon-gitud entre las líneas de los buses, para asegurar el correcto funcionamiento del interfaz a la frecuencia máxima de funcionamiento (Tabla 6).

Parámetro Diferencia máxima Diferencia entre señales del bus de datos y se-ñales DQS

25 ps (140 mils)

Diferencia entre señales del bus de direcciones y señales de control (DM, CASn, RASn, CSn, WEn, CLKEN, CLK_P/N, ODT)

50 ps (280 mils)

Diferencia entre señales de reloj (CK_P/N) y señales DQS.

100 ps (540 mils)

Tabla 6 – Parámetros de diseño del interfaz DDR2 SDRAM para asegurar el correcto funcionamiento del interfaz a la frecuencia máxima de operación de 266 MHz.

8.2 Memoria Flash

En PAMELA v3 están disponibles un total de 128 MBytes de memoria no volátil de tipo Flash NOR paralelo. Esta memoria se utiliza para varias tareas:

• Almacenar el sistema operativo que ejecuta el procesador del sistema, que se trata de un Linux embebido de distribución Busybox.

• Almacenar la configuración del firmware y los tipos de ensayos realizables.

Page 150: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

132 Luciano Casado Martínez

• Almacenar los datos y resultados de los diferentes ensayos realizados.

• Otros datos o configuraciones necesarias. Se ha elegido una memoria de tipo Flash NOR, en detrimento de la memoria Flash NAND. La memoria NOR, para un mismo encapsulado, tiene una capacidad más reducida que la NAND, pero permite un acceso aleatorio en lectura, a diferencia de las memorias NAND, que sólo permiten acceso por página. Por ello, las memorias NOR se utilizan como memorias para almacenar el software/firmware o sistema operativo de los dispositivos, mientras que las memorias NAND se utilizan más como grandes dispositivos de almacenamiento de datos. Para diseñar la unidad de almacenamiento no volátil de PAMELA v3 se ha decidi-do utilizar una memoria Flash NOR del fabricante Numonyx, ahora parte de Mi-cron Technologies [Numonyx 2011], que tiene disponibles chips Flash NOR de alta velocidad y gran capacidad, que antiguamente fabricaba la empresa norteamerica-na Intel, y que son los que más compatibilidad presentan con el dispositivo FPGA elegido. En concreto, para PAMELA v3 se ha elegido el chip PC28F00AP30TF, de 1024 Mbits de capacidad (128 Mbytes) y frecuencia máxima de 52 MHz. El chip utiliza un bus de datos de 16 bits para realizar las lecturas y escrituras, así como otras líneas de control que se han definido teniendo en cuenta las consideraciones expuestas en la hoja de características del fabricante. Dentro de la memoria Flash NOR, se han realizado tres particiones para permitir el arranque y la ejecución del sistema operativo Linux por parte del procesador Po-werPC 440: una para almacenar el sistema operativo embebido Linux Busybox, otra para almacenar el sistema de ficheros JFFS2 y la última para almacenar el cargador (Loader) que ejecuta el procesador PowerPC para poder arrancar el sistema opera-tivo. Las particiones se pueden observar en la Tabla 7, donde se indican en valores hexadecimales las direcciones de comienzo, el Offset, y el tamaño de cada parti-ción.

Dirección de Inicio Offset Tamaño

LINUX 0xF8000000 0x00000000 0x00500000

Sistema de ficheros JFFS2 0xF8500000 0x00500000 0x07AE0000

LOADER 0xFFFE0000 0x07FE0000 0x00020000

Tabla 7 – Particiones dentro de la memoria Flash NOR de PAMELA v3, con los parámetros expresa-dos en hexadecimal.

8.3 Memoria PROM

La configuración de la FPGA Virtex-5 FX70T se almacena en una memoria PROM externa. Esta memoria PROM, una vez programada con la configuración de la FPGA, cada vez que se alimenta el sistema PAMELA v3, carga en la FPGA la confi-guración almacenada en su interior. Para ello, la memoria PROM integra un oscila-

Page 151: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 133

dor de 40 MHz que se utiliza para cargar la configuración sin necesidad de un reloj externo. La memoria PROM elegida en PAMELA v3 es la recomendada por el fabricante de la FPGA, Xilinx Inc., para el modelo de FPGA Virtex-5 FX70T. Según el fabricante, la memoria mínima necesaria para almacenar su configuración es de 32 Mbits, por lo que para almacenar la configuración de la FPGA se ha escogido la memoria PROM XCF32P de Xilinx, de la serie Platform Flash. Existen varios sistemas de transmisión para realizar la grabación de la FPGA desde la memoria PROM. En PAMELA v3, la carga de la configuración almacenada en la memoria PROM hacia la FPGA se realiza en el modo conocido como Slave Select-MAP, que se trata de una comunicación paralelo de alta velocidad (Figura 105).

Figura 105 – Interfaz Slave SelectMAP de configuración de la FPGA desde la memoria PROM, utili-zando como señal de reloj el oscilador interno de la memoria PROM [Xilinx 2011b]. Por otra parte, en PAMELA v3 se ha incluido una interfaz JTAG que permite pro-gramar la memoria PROM con la configuración de la FPGA (Figura 106).

Figura 106 – Interfaz JTAG para la programación y testeo de PAMELA v3. El interfaz está comparti-do con una memoria PROM.

R2564k7

C256100nF/50V

R2574k7

2V5

2V5

JTAG_TCKJTAG_TMS

FPGA_TDO

JTAG Parallel IV Connector (PC4)

JTAG_TDI2V5

JP1

87832-1420P3-JTAG

NC1414

GND113

NC1212

GND211

TDI10

GND39

TDO8

GND47

TCK6

GND55

TMS4

GND63

VREF2

GND71

1515

1616

C257100nF/50V

Page 152: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

134 Luciano Casado Martínez

El conector de 16 pines del interfaz JTAG es el utilizado por el sistema de progra-mación de Xilinx (denominado USB Xilinx FPGA Programmer) para programar la memoria PROM. El interfaz JTAG, además de para programar la memoria PROM, también sirve pa-ra realizar tareas de debug con PAMELA v3, pudiendo cargar “in system” median-te JTAG la configuración de la FPGA o el propio sistema operativo Linux. Para ello, se utilizan las herramientas que proporciona el fabricante Xilinx (como puede ser el programa Impact, por ejemplo). El interfaz JTAG se encuentra conectado a la FPGA y a la memoria PROM en una estructura de tipo Daisy Chain, como se representa en la Figura 107.

Figura 107 – Estructura Daisy-chain de conexión entre el interfaz JTAG, la FPGA y la memoria PROM.

9. Módulo de Comunicaciones

De cara a las comunicaciones externas, la arquitectura electrónica avanzada incluye un Módulo de Comunicaciones. En el prototipo PAMELA v3 se ha incluido una sistema de comunicaciones Ethernet 802.11u de 10/100 Mbps de velocidad para interconectar el sistema con equipos externos. Este sistema de comunicaciones permite, por ejemplo, transmitir los resultados de los análisis a un computador ex-terno de control, o enviar las alertas oportunas en caso de que PAMELA v3 detecte alguna anomalía en la estructura. Para disponer de una interfaz Ethernet totalmente operativa se necesitan al menos estos cuatro elementos:

• Librerías TCP/IP y protocolos de nivel de aplicación. Están disponibles en el sistema operativo Linux embebido.

• Una MAC Ethernet que se encargue de gestionar el nivel de enlace de este protocolo. Este elemento está disponible dentro del dispositivo FPGA.

• Un chip físico que cumpla la norma Ethernet 802.11u, que se encarga de convertir las señales digitales generadas por la MAC en señales físicas que se utilizarán en la transmisión.

JTAG

PROM XCF32P

TDI

Virtex5 FX70T

TDO TDI TDO

Page 153: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 135

• Un conector Ethernet que incluya los aislamientos y adaptaciones necesarias entre el chip físico y el medio de comunicación (en este caso, cable de red UTP).

Como dispositivo de la capa física de Ethernet, en PAMELA v3 se ha elegido el chip LAN8710Ai de la compañía SMSC Inc. [SMSC 2011], debido a su adecuación a las alimentaciones disponibles, su reducido tamaño y consumo, y su compatibili-dad total con el estándar 802.11u. En la Figura 108 se muestra el diagrama de blo-ques correspondiente a la interfaz entre el chip físico LAN8710Ai y los elementos a los que se conecta: el MAC Ethernet mediante el interfaz MII y el conector RJ45 para la transmisión de datos.

Figura 108 – Interfaz del chip físico de Ethernet LAN8710Ai con la MAC Ethernet utilizando el es-tándar MII y con el conector RJ45 de transmisión de datos [SMSC 2011]. Se ha utilizado la interfaz MII presente en el controlador Ethernet de PAMELA v3 para realizar la transmisión de datos entre el controlador y el chip físico de Ether-net. La interfaz opera a 25 MHz en base al cristal de cuarzo que se utiliza como re-loj. El conector de Ethernet se ha unido al transductor de Ether chip físico siguien-do las directrices impuestas por el estándar 802.11u y la hoja de características del fabricante.

Todo ello permite disponer de una interfaz Ethernet 10/100 Mbps full-duplex to-talmente operativa, que permite conectar el equipo con computadores externos de control para realizar la configuración del sistema, descargar resultados de ensayos, o para que el propio sistema PAMELA v3 pueda enviar al computador externo avi-sos y alertas sobre el estado de la estructura.

Page 154: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

136 Luciano Casado Martínez

10. Módulo de Alimentación

El diseño del Módulo de Alimentación se ha basado en el diseño de referencia de la compañía Texas Instruments para dispositivos Virtex-5 [Xilinx 2011a]. Para distri-buir la alimentación entre los módulos del prototipo PAMELA v3, se han incluido una serie de conversores DC/DC aislados y no-aislados. La distribución de las ali-mentaciones se ha realizado siguiendo una distribución en cascada (Figura 109), teniendo especial cuidado en separar adecuadamente las alimentaciones corres-pondientes a elementos analógicos y a elementos digitales. Las alimentaciones de 5,1 V y de 2,5 V se encuentran dirigidas tanto a elementos analógicos como digita-les.

Figura 109 – Diagrama en cascada de las alimentaciones presentes en el prototipo PAMELA v3, don-de se observan los conversores DC/DC utilizados. En azul se indican las alimentaciones destinadas a dispositivos analógicos, mientras que en rojo se indican las destinadas a dispositivos digitales. Se han mantenido en color negro las alimentaciones destinadas tanto a dispositivos digitales como ana-lógicos.

±15 V (20 W)

5,1 V (30 W)

+15 VDC

-15 VDC

3,3 V (9,9 W)

2,5 V (7,5 W)

1,8 V (10,8 W)

1,8 V (5,4 W)

1,0 V (10 W)

2×0,9 V (0,5 W)

9 - 18 VDC POWER

5,1 VDC 3,3 VDC

0,9 VDC1

2,5 VDC

1,8 VDC

0,9 VDC2

1,8 VDC

1,0 VDC

Page 155: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 137

El prototipo PAMELA v3 se alimenta a una tensión principal que puede variar en-tre 9 V y 18 V (nominal de 12 V) de corriente contínua (DC o Direct Current). Esta tensión se utiliza para alimentar dos conversores DC/DC aislados de ±15 VDC y 5 VDC que proporcionan aislamiento entre las alimentaciones internas del equipo y la alimentación externa de 12 V nominal. Por una parte, el conversor de ±15 V (Figura 110) se utiliza para alimentar los com-ponentes analógicos encargados de la transmisión y adquisición de señales ul-trasónicas (amplificadores, conmutadores analógicos, transformadores, etc).

Figura 110 – Esquema electrónico de la alimentación analógica de ± 15 V de PAMELA v3. Esta alimentación se puede apagar desde la FPGA Virtex-5 FX70T para reducir el consumo del equipo en los momentos en los que no se están realizando transmisio-nes y/o adquisiciones de señales ultrasónicas (señal EN_15V). Además, aunque el propio conversor DC/DC integra sus propias protecciones, se ha incluido un des-cargador de gas para evitar que tensiones transitorias mayores de 300 V y corta duración puedan estropear el conversor. Como protección adicional, también se ha incluido un fusible automático (un polyswitch reseteable), para proteger la entrada frente a sobreintensidades debidas a un conexionado erróneo del conector de ali-mentación externo (por ejemplo, por conexión inversa de la tensión de alimenta-ción de 12 VDC nominal).

Por otra parte, se utiliza un conversor aislado de 5,1 VDC para aislar el resto de alimentaciones del sistema (Figura 111). Este conversor se ha sobredimensionado para permitir alimentar un bridge externo Ethernet a Wifi, como se comentará en el apartado 10.3. De esta tensión de alimentación se disgregan el resto de tensiones necesarias para los componentes digitales: 3,3 V, 2,5V, 1,8 V, 1,0 V, 0,9 V. Para cada una de ellas, se utilizan conversores DC/DC no aislados de conmutación y de una eficiencia típica mayor del 92 %. De todas ellas, la alimentación de 1 V es una de las más sensibles al ruido y es la que alimenta el núcleo interno de la FPGA. Todos los conversores que se utilizan para alimentar la FPGA cumplen las recomendaciones de diseño expuestas por la empresa Xilinx.

12V

0V

3V3

F1

SMD260F 2,6AP3-SMD260F

1 2

U5TEN 20-1223P3-TRACO_TEN20

-VIN2

ON/OFF6

+VOUT3

-VOUT5

Common4

+VIN1

+-15V 20W

Chip: 1290 mm2 (1" x 2")

12VFUS R154k7

EN_15V

15V

D1SK54C 40V/5A

P3-SMC

12

-15VSP1

DESCARGADORP3-DESCARGADOR

21

0V

12V

Page 156: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

138 Luciano Casado Martínez

Figura 111 – Esquema electrónico de la alimentación de 5,1 V de PAMELA v3. Todos los conversores se han dimensionado teniendo en cuenta los consumos a priori de todos los elementos presentes en el prototipo demostrador PAMELA v3. Para ello, se han realizado diferentes estudios que por simplicidad no se incluyen en este documento de tesis.

10.1 Sincronización de alimentaciones

Los conversores más críticos, por consumo total y elementos que alimentan (1,8 V y 1 V), se sincronizan mediante un circuito de sincronización. Esta sincronización reduce el ruido de las alimentaciones al hacer conmutar los conversores de manera desfasada. El esquema electrónico del circuito de sincronización se puede apreciar en la Figura 112.

Figura 112 – Esquema electrónico del circuito de sincronización de las alimentaciones más críticas dentro del prototipo PAMELA v3.

U3TEN 30-1211P3-TRACO_TEN30

-VIN2

ON/OFF3

+VOUT4

-VOUT5+VIN

1

TRIM6

5V1 30W

Chip: 1290 mm2 (1" x 2")

5V1

TierrasDGND y AGNDunidas

0V

12VFUS

1M

100nF

120pF

SYNC_1V0

5V1

470pF

SYNC_1V8D

Page 157: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 139

10.2 Modos de bajo consumo

Muchos de los elementos presentes en el prototipo PAMELA v3 disponen de un modo de bajo consumo que permite reducir el consumo general del equipo. Por ello, durante el diseño del equipo se ha mantenido en todo momento la posibilidad de gestionar estos modos de bajo consumo de energía desde el procesador Po-werPC de la FPGA.

Además, como se ha comentado anteriormente, también se ha dado la posibilidad por diseño de apagar el conversor aislado de 12 V a ±15 V desde el dispositivo FPGA para reducir aún más el consumo del equipo cuando no se estén realizando ensayos de integridad estructural.

10.3 Conectores de alimentación

Al igual que el conector de los transductores piezoeléctricos que se verá más ade-lante, se ha elegido como conector de alimentación principal un conector diseñado especialmente para el sector aeronáutico, fabricado por la compañía Nicomatic Inc. [Nicomatic 2011]. Se trata de un conector de 3 pines que permite manejar con hol-gura la intensidad máxima que consume el prototipo PAMELA v3 (Figura 113). La referencia de este elemento es 221D00F26-0003-340045.

Figura 113 – Conector de alimentación de 12 V en versión de 5 pines, aunque en el prototipo PAMELA v3 sólo dispone de 3 pines [Nicomatic 2011]. Además de este conector, en PAMELA v3 se ha incluido otro conector para alimen-tar de manera externa a un equipo conversor de Ethernet a Wifi 802.11g. El conec-tor toma la alimentación interna de 5,1 V y la proporciona al exterior. Este conector es similar al de 12 V pero de género contrario para evitar errores de conexionado. El equipo conversor de Ethernet a Wifi opcional (Figura 114) elegido ha sido el modelo DWL-G810 de la compañía D-link [D-Link Iberia S.L. 2011]. Este elemento consume un máximo de 3 vatios durante la transmisión y/o recepción de datos y permite comunicar el sistema de monitorización de manera inalámbrica con equi-pos de control y gestión remota, por ejemplo, en lugares de difícil acceso o donde el número de cables esté limitado.

Page 158: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

140 Luciano Casado Martínez

Figura 114 – Conversor de Ethernet a Wifi opcional que se puede utilizar para que el prototipo PAMELA v3 pueda realizar transferencias de datos y configuraciones de manera inalámbrica [D-Link Iberia S.L. 2011].

11. Consideraciones del circuito impreso

El diseño del circuito impreso (en adelante PCB, del inglés Printed Circuit Board) del prototipo PAMELA v3 se ha realizado con el programa Cadence Allegro v16.2 GXL, debido a que programas de diseño más comunes como OrCAD Layout no proporcionan la suficiente potencia para gestionar todas los elementos y condicio-nantes presentes en la arquitectura electrónica avanzada. La elección de la FPGA Virtex-5 FX70T ha condicionado en gran medida las carac-terísticas del PCB en el que se ha construido el prototipo PAMELA v3. Al ser el componente más complejo de todo el diseño, la construcción del PCB se ha basado en gran medida en los requerimientos de los dispositivos Virtex-5. De los 1136 pines BGA de los que consta el encapsulado de la FPGA, 640 pines co-rresponden a líneas de propósito general que se pueden configurar como entradas y/o salidas, de las cuales en PAMELA v3 se utilizan 294 líneas (el 45 %). La dife-rencia entre los 1136 pines totales y los 640 pines de propósito general corresponde a líneas de alimentación y gestión del arranque de la FPGA. Por tanto, en el PCB de PAMELA v3 no se han utilizado todos los pines de la FPGA, pero aún así el núme-ro de líneas rutadas ha sido:

líneasrutadasLíneas 790)6401136(294 =−+= Ecuación 34 Durante la planificación del PCB, se ha estimado que una estructura de doce capas para el PCB es un número adecuado para dar cabida a todas las señales de la FPGA y del resto de elementos de PAMELA v3 (Figura 115).

Page 159: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 141

Figura 115 – Estructura de doce capas utilizada en el circuito impreso de PAMELA v3 para mejorar la integridad de señal y permitir el rutado de todas las señales de la FPGA. Los grosores de cores (o laminados), pre-pregs (aislantes) y capas de cobre se han estudiado para lograr una impedancia de aproximadamente 50 Ω para líneas en modo común y de aproximadamente 100 Ω para líneas diferenciales. En la Figura 116 se observan las dimensiones de cada una de las capas. El grosor total de la es-tructura del circuito impreso es de aproximadamente 1,67 mm.

TOP

2_PWR

3_GND

4_SIG_V

5_SIG_H

6_GND

7_SIG_V

8_SIG_H

9_GND

10_PWR

11_LOW_SPEED

Laminado (core)

Aislante (pre-preg)

Capa conductora

Page 160: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

142 Luciano Casado Martínez

Figura 116 – Dimensiones de cada una de las doce capas del PCB de PAMELA v3. El grosor total de la estructura es de aproximadamente 1,67 mm. De las doce capas del PCB de PAMELA v3, sólo cinco se utilizan como capas de rutado. El resto de capas se utilizan como:

• Emplazamiento. Las capas más externas (TOP y BOTTOM) se utilizan para emplazar los componentes de PAMELA v3. La mayoría de los elementos se sitúan sobre la capa TOP, dejando los elementos de menor tamaño para la capa BOTTOM.

• Planos de tierra. Los planos más externos se utilizar para aislar y confinar las señales digitales de alta velocidad en el interior del PCB con el objeto de evi-tar radiaciones no deseadas y problemas de EMI. Además, todos los planos de tierra se utilizan como planos de intensidades de retorno.

• Planos de alimentación. Para distribuir todas las alimentaciones presentadas en el apartado 10, se utilizan dos planos de alimentación, cada uno compar-tido por varias alimentaciones. La utilización de planos para todas las ali-mentaciones reduce la impedancia de todas las alimentaciones y aumenta la intensidad máxima que puede circular por ellos.

• Rutado general. La capa 11, denominada LOW_SPEED, se utiliza para rutar señales de baja frecuencia que no se han podido rutar por el resto de capas, aislándolas de las nocivas señales de alta frecuencia de otras capas. Las zo-nas de esta capa que no se utilizan para rutar, se apantallan con un plano de

Page 161: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 143

tierra para evitar también que interferencias externas se introduzcan en los planos más internos.

Para distribuir todas las líneas de la FPGA por el PCB, son necesarias varias capas dedicadas únicamente al rutado de estas señales de alta velocidad. En PAMELA v3 se han utilizado, en concreto, cuatro capas para realizar este rutado (Figura 117).

Figura 117 – Detalle de las cuatro capas en las que se realiza el rutado de las líneas de la FPGA Vir-tex-5 FX70T en PAMELA v3. Se puede observar cómo se ha realizado el rutado de las líneas y los ajustes de longitud realizados en muchas de las señales. Se ha tenido especial cuidado en conseguir que todas las líneas de los buses de alta velocidad tengan aproximadamente la misma longitud, para evitar deslizamientos de bit no deseados. Además, se han ajustado los pares diferenciales LVDS para que ambas líneas del par tengan la misma longitud, y para que a su vez los pares que forman un bus de señales síncrono tengan también una longitud similar. Estos ajus-tes de longitud han sido más importantes en líneas de mayor frecuencia, como por ejemplo, en las líneas de la memoria DDR2 que funcionan a 266 MHz en doble flanco. Las diferencias máximas de longitud en este caso vienen dadas por el pro-

Primera capa de rutado de señal Segunda capa de rutado de señal

Tercera capa de rutado de señal Cuarta capa de rutado de señal

Page 162: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

144 Luciano Casado Martínez

pio fabricante de las memorias, quien determina la máxima desviación admisible para que el interfaz siga funcionando correctamente. Los ajustes de longitudes de señales se han realizado con elementos de retraso con forma de acordeón, introdu-cidos en las propias pistas de señal (Figura 118).

Figura 118 – Detalle de los retrasos introducidos en las líneas de señal mediante una forma de acor-deón. Durante el diseño del PCB, se han tenido siempre presentes las principales reglas de compatibilidad electromagnética aprendidas durante la asignatura “Compatibili-dad Electromagnética” en el programa de doctorado “Comunicaciones, Electrónica y Control” cursado por el autor de este documento de tesis, así como otra serie de reglas de Integridad de Señal disponibles en numerosos documentos [Bogatin 2003, Hall et al. 2009, Mitzner 2009]. En la Figura 119 se muestra el diseño del PCB completo de PAMELA v3. El circuito impreso se ha fabricado en material FR4 con un grosor de cobre de 35 µm para las capas externas y 17 µm para las capas internas, y con una clase 7 de fabricación, dada por la necesidad de utilizar pequeñas vías y pistas de rutado de bajo grosor. Las dimensiones del circuito impreso son 160 por 160 mm, con un total de 825 componentes instalados y un peso de 265 gramos. El PCB cuenta con unos raíles en los bordes que se utilizan para introducir el PCB en la caja correspondiente y para utilizar la propia caja como jaula de faraday y disipador de calor.

Page 163: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 145

Figura 119 – Vista superior del circuito impreso de PAMELA v3 con todos los componentes instala-dos. Se aprecia cómo el componente principal en la placa es la FPGA Virtex-5 FX70T.

12. Adaptador de transductores piezoeléctricos

La conexión del equipo PAMELA v3 con los transductores piezoeléctricos se reali-za utilizando un adaptador especial (Figura 120). La empresa AERnnova Enginee-ring Solutions Ibéria S.A ha solicitado recientemente la patente de este adaptador [Aernnova Engineering Solutions Ibérica S.A. 2011b]. El adaptador se trata de un circuito impreso flexible y apantallado que alberga doce transductores piezoeléctricos, que se sueldan en la parte inferior. El adaptador in-cluye un conector SMD de 26 pines que se utiliza para realizar la conexión con el prototipo PAMELA v3, que también dispone de un conector de 26 pines y género contrario. Ambos conectores están fabricados por la compañía Nicomatic Inc. y están especialmente diseñados para entornos aeronáuticos. El adaptador de transductores piezoeléctricos se encuentra certificado para aplica-ciones espaciales, y ha sido verificado en los ensayos para los rangos de temperatu-ra aplicables.

Page 164: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

146 Luciano Casado Martínez

Figura 120 – Vistas superior e inferior del adaptador de transductores piezoeléctricos que se instala sobre la estructura a monitorizar y se conecta al sistema PAMELA v3 mediante un conector SMD de 26 pines. El adaptador se encuentra en proceso de patente. Imagen cortesía de AERnnova Enginee-ring Solutions Ibérica S.A. Los doce transductores que se encuentran montados en el adaptador se adhieren a la estructura utilizando un pegamento epoxi de características aeronáuticas.

13. Desarrollo del sistema prototipo demostrador

El desarrollo del prototipo demostrador basado en la arquitectura electrónica avan-zada, ha sido realizado mediante la suite ofimática Cadence Allegro SPB v16.2, que incluye las herramientas Design Entry CIS y Allegro GXL. No obstante, se conside-ran parte del diseño las pruebas realizadas en fases preliminares con las placas de evaluación de ciertos componentes críticos del diseño, que por simplicidad no se mostrarán en este documento. El prototipo demostrador PAMELA v3 se ha introducido en una caja de aluminio cuyas dimensiones son 30 mm por 165 mm y por 162 mm (aproximadamente), con un peso total del conjunto de 688 gramos, que lo hace adecuado para embarcarlo en una aeronave. En la Figura 121 se muestra el sistema PAMELA v3 totalmente en-samblado.

Page 165: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 147

Figura 121 – Sistema PAMELA v3 introducido en una caja de aluminio de dimensiones 30 mm por 165 mm y por 162 mm (aproximadamente). Aunque el PCB de PAMELA v3 se ha introducido en una caja de aluminio, para reducir el peso total del conjunto, se puede también introducir en una caja de mate-rial plástico (PVC, por ejemplo). Teniendo en cuenta que el peso del PCB con todos los componentes instalados es de 265 gramos, se puede llegar a tener un conjunto de menos de 400 gramos. El equipo PAMELA v3 se conecta a un array lineal de doce transductores piezo-eléctricos que se encuentran instalados en el adaptador presentado en el apartado 12. Para ello, PAMELA v3 dispone de un conector de 26 pines separados en parejas, con un par para cada transductor y dejando el último par de conexiones para detec-tar la correcta conexión entre el adaptador y el equipo PAMELA v3. En la Figura 122 se representa la instalación del sistema PAMELA v3 sobre el adaptador de transductores, que se encuentra adherido a una estructura metálica o de material compuesto.

Page 166: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

148 Luciano Casado Martínez

Figura 122 – Instalación del equipo PAMELA v3 sobre el adaptador de transductores piezoeléctricos que se encuentra adherido a una estructura metálica o de material compuesto. En la Figura 123 se observa la colocación de PAMELA v3 sobre el adaptador de transductores piezoeléctricos, que se encuentra adherido a una estructura de mate-rial metálico.

Figura 123 – Detalle de la instalación del equipo PAMELA v3 sobre el adaptador de transductores piezoeléctricos adherido a una estructura metálica.

Estructura metálica o de material compuesto

Piezoeléctricos montados por debajo del adaptador

Alimentación DC

Comunicaciones Ethernet

PAMELA v3

Adaptador de transductores

Page 167: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 149

Por otra parte, en la Figura 124 se aprecia el equipo PAMELA v3 instalado sobre una estructura de material metálico. El sistema se ha conectado mediante el puerto Ethernet a un computador externo de control, se ha alimentado mediante una fuen-te de alimentación AC/DC de 220 VAC a 12 VDC y se ha conectado a un equipo ex-terno de control para su depuración utilizando el adaptador RS232 de la figura.

Figura 124 – Sistema PAMELA v3 instalado sobre una estructura de material metálico en un escena-rio de laboratorio donde se han realizado las pruebas de validación y puesta en marcha del sistema. Por otra parte, en la Figura 125 se puede apreciar el equipo PAMELA v3 instalado sobre una estructura de material metálico, habiendo situado sobre el equipo el con-versor de Ethernet a Wifi, que permite enviar los datos de manera inalámbrica.

Figura 125 – Sistema PAMELA v3 instalado sobre una estructura de material metálico y unido al adaptador Ethernet a wifi, para permitir el envío de alertas y notificaciones por vía inalámbrica.

Adaptador RS232

Ethernet 12 VDC

Page 168: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

150 Luciano Casado Martínez

Como se ha comentado en el apartado 10.3, el conversor Ethernet a Wifi 802.11g se alimenta a una tensión de 5 V, que obtiene del propio prototipo PAMELA v3. El sistema PAMELA v3 proporciona dicha tensión en uno de sus conectores situados al exterior. Cuando se conectan ambos equipos mediante un cable Ethernet no cru-zado, el conversor Ethernet a Wifi envía los datos de manera inalámbrica a un equipo de control, previa configuración de todos los equipos.

14. Software

El software del prototipo PAMELA v3 (Figura 126) engloba tanto el sistema opera-tivo (Open Source Linux, u OSL), como el sistema de ficheros (JFFS2), pasando por los drivers que controlan varios módulos de PAMELA v3, el software que se en-carga de gestionar los ensayos (denominado firmware o FW), el servidor de gestión remota (software embebido o SWe) y el software de gestión remota (software de HMI o SW). En la parte inferior de la figura se ha representado la actuación de los circuitos electrónicos o hardware (HW), encargados de generar las señales de exci-tación de los piezoeléctricos y la adquisición de datos, entre otras funciones.

Figura 126 – Capas de diseño del sistema PAMELA v3 desde el punto de vista más genérico, abar-cando tanto elementos hardware como elementos software. Por lo tanto, han sido necesarios varios pasos en aras de conseguir el sistema soft-ware completo, como se mostrará durante este apartado.

14.1 Planteamiento del software

En la Figura 127 se observa la relación existente entre las diferentes capas del dise-ño del prototipo PAMELA v3. En azul se representan los elementos puramente

Page 169: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 151

software en sus distintos niveles. El firmware se ha considerado parte del SWe, como se verá más adelante.

Figura 127 – Relación general entre los elementos HW y SW del sistema PAMELA v3. El sistema operativo Linux OSL u Open Source Linux actúa como interfaz entre los elementos hardware del sistema PAMELA v3 y los programas de usuario. Linux OSL establece el enlace entre el HW y el propio firmware del sistema, siendo el responsable de gestionar y coordinar las actividades del sistema (módulo de proce-samiento PowerPC 440) y de llevar a cabo el intercambio de los recursos, además de actuar como intermediario para las aplicaciones que se ejecutan. En este caso, se ha optado por utilizar Open Source Linux 2.6.33, con la distribución Busybox, que presenta una alta compatibilidad con las FPGA Virtex-5. Otras distribuciones o versiones de kernel ocasionan problemas en el funcionamiento, por lo que se han descartado. En un tercer nivel se encuentra el firmware (FW), que corre en el procesador Po-werPC 440. El FW se encarga de configurar los registros y circuitos integrados de la tarjeta PAMELA v3 para la realización de las operaciones básicas del sistema. En el FW se incluyen los drivers que gestionan todos los elementos de PAMELA v3, co-mo el Módulo de Excitación, el Módulo de Adquisición, etc. El firmware ha sido diseñado en un PC basado en Linux (distribución Ubuntu), en el que se ha instala-do el compilador cruzado ELDK 4.1 para la plataforma PowerPC. En el cuarto nivel se encuentra el funcionamiento del programa que corre en el PowerPC (SWe) que se ocupa del tratamiento de señal, las comunicaciones y de la planificación de los ensayos. El SWe se comunica con el FW para realizar la confi-guración de los módulos del sistema. Este SWe ha sido también diseñado utilizan-do el compilador cruzado ELDK 4.1. Un quinto nivel es el empleado por el software de HMI, o SW, para la visualización de resultados, el interfaz de control con uno o varios equipos PAMELA v3, etc. En la Tabla 8 se resumen las principales funciones de cada nivel.

Ethernet/WIFI Configuración

Page 170: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

152 Luciano Casado Martínez

SW SWe FW HW INTERFACE CON

USUARIO INTERFACE CON

PAMELA III INTERFACE CON

HW CONTROL

PROCESO HW Seleccionar el tipo de ensayo

Configurar el tipo de ensayo

Seleccionar configu-ración SGS

Configurar SGS Escribir registros de configuración del SGS y DAC para un ensayo simple

Seleccionar configu-ración DAS

Configurar DAS para ensayos simples

Escribir registros de configuración de DAS, ADC y DAC

Control ensayos Realización ensayo múltiple y configurar ensayo simple

Control ensayo sim-ple

Ensayo simple

Acceso a ficheros (Linux)

Acceso a ficheros (Linux)

Tratamiento básico de los ficheros de ensayos

Generar ficheros de resultados de un ensayo

Procesamiento de señales

Procesamiento de señales

Otras configuraciones: modo automático, detección impacto y sleep.

Configurar modo au-tomático, detección impacto y sleep.

Escribir registros de detección de impac-tos y modos sleep.

Detección de impac-tos y modos sleep

Visualizar resultados

Tabla 8- Señales primitivas capturadas en un análisis round-robin para la aplicación del algoritmo de beamforming sintético. El método de beamforming sintético requiere de un análisis con metodo-logía round-robin para adquirir las primitivas.

En la Figura 128 se representa el diagrama de bloques del funcionamiento de PAMELA v3, donde se resaltan las funciones realizadas por cada uno de las capas del diseño mostradas en la Figura 126. Por simplicidad, se han contemplado en un mismo bloque, Proceso Software, tanto los procesos ejecutados por el SWe como los ejecutados por el SW de HMI. En dicha figura, se considera que PAMELA v3 puede realizar básicamente dos niveles de ensayos:

• Ensayo complejo, formado por varios ensayos simples, como por ejemplo round-robin, beamforming o time reversal, donde se ejecutan varios ensayos simples hasta lograr los datos necesarios para el análisis de integridad.

• Análisis simple, donde sólo se ejecuta un ensayo simple, como por ejemplo, un ensayo donde se excita la estructura con señales sinusoidales y se ad-quieren los datos.

Page 171: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 153

Figura 128 – Diagrama de flujo del arranque y operación de PAMELA v3, en el que se muestran los procesos ejecutados por cada uno de los elementos software y hardware. Se han juntado el SWe y el SW de HMI en una misma denominación Proceso Software.

14.2 Ejemplo de funcionamiento

Durante el trabajo de investigación, se han comprobado mediante ensayos funcio-nale todos los modos de funcionamiento de la arquitectura propuesta. Para no hacer demasiado extensa la exposición, en este subapartado se va a mostrar única-mente un ejemplo de funcionamiento. Este ejemplo consiste en ensayo pulse-echo, en el cual se transmite señal por uno de los canales del prototipo y se adquieren las señales ultrasónicas por los doce canales. En el ejemplo se plantea principalmente la configuración del hardware del prototipo PAMELA v3 desde el software embe-bido, y la participación intermedia del firmware en el proceso. La configuración del hardware de PAMELA v3 desde el firmware se realiza me-diante una serie de parámetros. Estos parámetros permiten configurar los elemen-

Inicio FPGA

Inicio PowerPC

Configuración de ensayo simple

Ensayo simple

Tratamiento de datos

Comunicación

Comunicación

Proceso Hardware

Proceso Firmware

Proceso Software

Ejecución ensayo

Visualización

Configuración HW

Ensayo complejo

¿Fin de análisis?

Fin ensayo

Page 172: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

154 Luciano Casado Martínez

tos de cada uno de los módulos presentes en el prototipo PAMELA v3. En la Tabla 9 se muestran algunos de los parámetros de configuración de los módulos que se deben configurar en el ejemplo.

Tabla 9- Configuración de los parámetros del firmware. La configuración mostrada permite realizar un ensayo pulse-echo transmitiendo señal por el canal 2 y adquiriendo las señales recibidas en todos los canales del Módulo de Adquisición.

Parámetro Valor Comentarios ME_MBS1 FW/SIGNALS/sinusoid_3

33khz.bsm Ruta desde la que se carga en la memoria base el fichero con la forma de señal de excitación.

… … … ME_MODUL_MB1 17AD Longitud del fichero BSM1, o

número de muestras de la señal. ME_MEM_SEL1 YES Se genera sólo la señal de la

BSM1 ME_MODE_MEM IND Modo no concatenado de MBS. ME_CROSS_Bi C, 0, C, C,… Para el canal 2, se selecciona la

señal procedente de la MBS1. Resto de canales sin señal (la C indica no conectado, de 0 a B para conectar los canales).

… … … ME_CH_SEL2 ON Solamente se genera señal por el

canal 2 ME_TIME 017B7 Tiempo total de generación =

tiempo de la señal más un mar-gen.

… … … MA_CHANNEL_SELi ON, ON, ON, ON,… Todos los canales seleccionados MA_NUM_PERIODOS 30D40 200000 peridos de 10 ns = 2 mili-

segundos de duración de ensayo, expresado en hexadecimal.

MA_SEL_FIR 0 Filtro FIR del MA paso-todo, mostrado en el apartado dedica-do al Módulo de Adquisición.

MA_SEL_DIEZMADO 0 Diezmado desactivado. MA_FICH_DESTi /FW/DAS/result_ch1.txt,

/FW/DAS/result_ch2.txt, …

Rutas en las que se guardan los muestras adquiridas para cada canal

MA_ANTIALIASING 30 Selecciona el filtro antialiasing de 8 MHz

MA_PGA_GAIN 00 00 – PGA 21dB ganancia 04 – PGA 24dB ganancia 08 – PGA 27dB ganancia 0C– PGA 30 dB ganancia

MA_GAIN_DAC F000 Ganancia configurable lineal-mente desde F000 a FFFF (hexa-decimal) del front-end del ADC del MA. Se configura mediante el DAC MAX5535.

MODO_PITCH_CATCH 0 0 – Modo normal, 1 – Modo Pitch, 2 – Modo Catch

Page 173: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 155

Para un ensayo pulse-echo como el mencionado anteriormente, desde el punto de vista del Módulo de Excitación (ME), la configuración necesaria se muestra en la Figura 129.

Figura 129 – Representación de un ensayo en el que la señal de excitación se envía por un solo canal. Por su parte, el Módulo de Adquisición (MA) se debe configurar para que adquiera los doce canales simultáneamente, por ejemplo durante un tiempo de 2 ms. El resto de parámetros se comentan en la propia Tabla 9. En la Figura 130 se muestra la señal de excitación generada mediante el módulo de excitación para el ensayo de ejemplo. La captura ha sido tomada mediante un osci-loscopio, a la salida del módulo de excitación. La forma de onda de excitación utili-zada ha sido una señal sinusoidal de 330 kHz y 20 ciclos, que se ha cargado en la memoria base del canal 2 del módulo de excitación.

Figura 130 – Captura de osciloscopio de la señal de excitación sinusoidal de 330 kHz y 20 ciclos utili-zada en el ensayo por un sólo canal.

MAE

MBS1 Contador1

MBS2

MBSi

MBS12

FIFO2

FIFO1

FIFO12

PLB

Contador2

Contadori

Contador12

FIFOi

Multiplexor 12×12

Mux

Mux

MAE

MAE

Page 174: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

156 Luciano Casado Martínez

Utilizando el programa Matlab, se ha realizado la representación de las señales ul-trasónicas adquiridas en este ejemplo mediante los doce transductores piezoeléctri-cos. En la Figura 131 se muestra la señal adquirida en el canal dos utilizando el módulo de adquisición del sistema.

Figura 131 – Representación en Matlab de las señales ultrasónicas adquiridas en el canal dos para un un ensayo en el que la señal de excitación de 330 kHz y 20 ciclos sinusoidal, se envía únicamente por el canal dos. En la Figura 132 se muestra una ampliación de la figura anterior, donde tras los primeros 20 pulsos recortados, correspondientes a la señal de excitación, se aprecia la forma característica de las ondas guiadas ultrasónicas.

Figura 132 – Ampliación de la señal adquirida por el módulo de adquisición para el canal dos.

Page 175: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 157

14.3 Software de HMI

Como continuación del desarrollo de la arquitectura electrónica avanzada, el Gru-po de Investigación en Instrumentación y Acústica Aplicada de la Universidad Po-litécnica de Madrid (UPM) ha sido el encargado de diseñar un software de HMI, para controlar el sistema PAMELA v3. El software dispone de una interfaz gráfica (Figura 133) que permite configurar el tipo de ensayo a realizar por el prototipo PAMELA v3.

Figura 133 – Interfaz gráfica del software de HMI, encargado de configurar el tipo de ensayo a reali-zar por el prototipo PAMELA v3. Además, el SW de HMI también permite representar las señales adquiridas por el prototipo (Figura 134) y realizar el procesado de señal correspondiente. Inicialmente, el procesamiento de señal de las señales adquiridas se ha realizado en el propio SW de HMI, pero en una siguiente fase, se han implementado todas las funciones de tratamiento de señal en el software embebedido en el prototipo PAMELA v3.

Page 176: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

158 Luciano Casado Martínez

Figura 134 – Representación de las señales ultrasónicas adquiridas mediante el SW de HMI. Como ejemplo, se muestran superpuestas las señales adquiridas en un ensayo beamforming. A continuación, se enumeran a modo de ejemplo algunas de las técnicas o métodos de tratamiento de señal que es posible aplicar. Por simplicidad, no se van a comen-tar los aspectos de cada uno de ellos, dado que los conceptos se pueden consultar en cualquier documentación sobre tratamiento de señal.

• Average Filter

• Time Filter

• Amplitude Filter

• Filter Order

• Low Pass Filter

• Butterworth Filter

• Chebychev Filter

• Inverse Chebychev Filter

• Elliptic Filter

• Bessel Filter

• High Pass Filter

• Band Pass Filter

• Band Stop Filter

• Gaussian Filter

• Zero Phase filter

Page 177: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 159

• Sequence of Filters

• Number of samples

• Window size

• 1D FFT (Fast Fourier Transform)

• Inverse FFT Transform

• 2D FFT (Fast Fourier Transform)

• 3D FFT (Fast Fourier Transform)

• Short Time Fourier Transform (STFT)

• Inverse STFT (ISTFT)

• Continuous Wavelet Transform (CWT)

• Discrete Wavelet Transform (DWT)

• Haar Wavelet

• Hilbert Transform (HT)

• Hilbert- Huang Transform (HHT)

• Wigner- Ville Function (WVF)

• Time reversal

• Wave trains separation

• Calculated velocities

• Dispersión curves (experimental)

• Signal Envelopes Calculation

• Signal Envelopes Subtraction

• Travel time or time of flight tables (TOF Tables)

• Electromechanical transducer characterization

• Passive mode

• Manual mode

• Automatic RR mode

• Dynamic responses (FFT’s & FRF’s)

• Edge detection mode

• Energy analysis

• Attenuation correction

• Signal cancelling

• Passive Beam Forming Approximate (Far field)

• Passive Beam Forming Exact (Near field)

Page 178: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

160 Luciano Casado Martínez

• Passive Beam Forming Global (Near & Far Field)

• Active Beam Approximate (Far Field)

• Active Beam Forming Exact (Near Field)

• Active Beam Forming Global (Near & Far Field)

• Focusing

• Subtraction inside 12x12 Matrix

• Subtraction of different 12x12 Matrixes (for Simple RR)

• Subtraction of different PAMELA Maps (Scans)

• Convolution/Deconvolution

• Cross Correlation

• Impact detection

• Temperature distribution

• Stress distribution

• Residual stress distribution

• Anomalies detection

15. Pruebas realizadas

El grupo de investigación GDE, junto con la empresa AERnnova y el grupo de in-vestigación en Instrumentación y Acústica Aplicada de la Universidad Politécnica de Madrid (UPM), ha realizado una serie de pruebas en el Centro de Tecnologías Aeronáuticas (CTA) situado en el parque tecnológico de Álava (Miñano). El objeti-vo de dichas pruebas ha sido comprobar el correcto funcionamiento del prototipo PAMELA v3 basado en la arquitectura electrónica avanzada para SHM. Las pruebas realizadas con el prototipo PAMELA v3 han sido similares a las reali-zadas con el equipo PAMELA v2. En concreto, se han realizado pruebas de carga en una máquina de tracción de un eje utilizando especimenes de aluminio y de un material compuesto denominado CFRP (carbon fiber-reinforced polymer), que re-presentan secciones de estructuras reales de aeronaves que la compañía AERnnova fabrica en la actualidad. Todos los especimenes han sido sometidos a cargas de tracción longitudinales y crecientes con el objetivo de evaluar las señales adquiri-das por el prototipo PAMELA v3 realizando ensayos round-robin, beamforming y time reversal. En la Figura 135 se muestran los utillajes utilizados para amarrar cada uno de los paneles de aluminio y de material compuesto utilizados durante las pruebas. Con el objeto de no alterar el análisis de integridad estructural, se ha utilizado un pe-gamento epoxi específico para adherir los utillajes con los especímenes, en lugar de la unión mediante tornillos que requiere agujerear los especimenes.

Page 179: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 161

Panel de Aluminio Panel de material com-puesto CFRP

Figura 135 – Se muestra el utillaje de amarre utilizado para cada uno de los paneles. Los ensayos se han realizado sobre dos paneles, uno de aluminio y otro de material compuesto CFRP. Los paneles se han sometido a cargas estáticas de tracción unidireccional. Las señales ultrasónicas se han genera-do y recogido con el prototipo PAMELA v3. En marrón se indica la posición en la que se han ad-herido los adaptadores de transductores piezoeléctricos. En el caso del panel de material metálico, se ha utilizado un panel rectangular sin rigidizadores. En el caso de los especímenes de CFRP, sin embargo, se ha utilizado un panel curvo con elementos rigidizadores longitudinales (Figura 136). Al ser la separación entre elementos rigidizadores menor que las dimensiones del prototipo PAMELA v3, no ha podido ser instalado en el propio espécimen. En su lugar, se han utilizado cables de varios metros de longitud para unir los adaptadores de transductores piezoeléctricos con los prototipos PAMELA v3 utilizados en las pruebas.

Figura 136 – Estructura de material CFRP con rigidizadores utilizada durante las pruebas realizadas al prototipo PAMELA v3 en el Centro de Tecnologías Aeronáuticas (CTA).

Fibre Orientation 0°

Fibre Orientation +90°

Page 180: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

162 Luciano Casado Martínez

En las dos estructuras aeronáuticas se han adherido sendos adaptadores de trans-ductores piezoeléctricos, como el comentado en el apartado 12 de este capítulo, utilizando un pegamento epoxi especial. Los adaptadores de transductores están formados por un array lineal de doce transductores piezoeléctricos, con una sepa-ración entre transductores de 9 mm. Durante los tests, se ha sometido a los especímenes a fuerzas de tracción alternas y se ha realizado la monitorización de integridad estructural mediante las técnicas comentadas con anterioridad. Las señales recibidas por los transductores han sido muestreadas por sendos prototipos PAMELA v3. Las muestras han sido enviadas a un ordenador portátil, para su posterior procesado digital de señal y tratamiento de datos. La gestión de los equipos PAMELA v3 se ha realizado mediante herra-mientas gráficas desarrolladas por el Grupo de Investigación en Instrumentación y Acústica Aplicada de la Universidad Politécnica de Madrid (Figura 137).

Figura 137 – La interfaz gráfica de usuario que permite configurar los parámetros de excitación y adquisición del hardware PAMELA v3. En el ejemplo, se ha configurado el equipo para realizar un análisis beamforming con un retraso incremental de 100 ns entre transductores. La señal de excita-ción elegida ha sido una sinusoide de tres periodos y 300 kHz. En la Figura 138 se muestra el montaje del espécimen de material metálico en la máquina de tracción. El espécimen se ha amarrado a la máquina de tracción me-diante un utillaje especial, que se ha adherido al espécimen utilizando un pega-mento epoxi de gran resistencia. Sobre la estructura se han instalado dos equipos PAMELA v3 que se han conectado a sendos adaptadores de transductores previa-mente adheridos al material metálico. Los equipos PAMELA v3 se han conectado a sendos ordenadores portátiles utili-zando la conexión Ethernet, y se han alimentado mediante los cables de alimenta-ción correspondientes (Figura 139), aunque las comunicaciones y el control de los

Page 181: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 163

prototipos se podrían haber realizado con un solo ordenador portátil o utilizando comunicaciones inalámbricas mediante adaptadores Ethernet a Wifi. Para ensayos en los que no se disponga de alimentación cableada, se podrían haber incluido ba-terías en el interior de los prototipos para alimentarlos durante un tiempo mínimo.

Figura 138 – En la parte izquierda se muestra el montaje espécimen de material metálico en la má-quina de tracción, utilizando los utillajes comentados. Se pueden apreciar los adaptadores de trans-ductores piezoeléctricos adheridos al material mediante dos tipos de pegamentos epoxi. En la figura de la derecha se muestra cómo sobre la estructura se han instalado posteriormente dos equipos PAMELA v3, que se han conectado a los adaptadores de transductores previamente adheridos al especimen. En la estructura metálica se han instalado dos equipos PAMELA v3 para poder realizar ensayos Pitch-Catch con ambos equipos, así como para que la empresa AERnnova Engineering Solutions Ibérica S.A. pudiera analizar la respuesta de dos tipos de pegamentos epoxi distintos. No obstante, de cara a analizar una estructura como la utilizada, sería suficiente con utilizar un solo prototipo PAMELA v3 unido a su correspondiente adaptador de transductores piezoeléctricos. Durante las pruebas, se ha variado la carga a tracción ejercida por la máquina sobre el espécimen de material metálico, realizando ensayos round-robin, beamforming y time reversal para todos los valores carga. Al llegar a los valores de carga máximos utilizados, se ha podido observar cómo la estructura metálica se ha empezado a combar debido a las fuerzas de tracción (Figura 140). Tras analizar los resultados de los ensayos, se determinará si el efecto de combado y doblado del material es también apreciable en las señales adquiridas durante los ensayos realizados.

Page 182: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

164 Luciano Casado Martínez

Figura 139 – Montaje del espécimen de material metálico en la máquina de tracción, utilizando los utillajes comentados. Sobre la estructura se han instalado dos equipos PAMELA v3 que se han conec-tado a sendos adaptadores de transductores previamente adheridos al material metálico.

Figura 140 – Efecto de combado y doblado del material metálico a medida que se aumenta la carga a tracción. Por su parte, en la Figura 141 se muestra el montaje de los equipos PAMELA v3 y para el caso del espécimen de material compuesto. En este caso, los prototipos

Page 183: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 165

PAMELA v3 no han podido ser instalados directamente en el espécimen de CFRP debido a la curvatura del espécimen y a que la separación entre los rigidizadores era menor que la anchura de la caja del prototipo. Por ello, se han utilizado dos cables con 12 pares trenzados y apantallados de varios metros de longitud para conectar los adaptadores de transductores con su respectivo prototipo PAMELA v3.

Figura 141 – El montaje realizado para analizar el espécimen de material compuesto CFRP, utilizan-do dos equipos PAMELA v3 conectados a sendos ordenadores portátiles. En este caso, los equipos PAMELA v3 no han podido ser montados en el espécimen de CFRP debido a que la separación entre rigidizadores no era suficiente. No obstante, en el futuro se pretende instalar el equipo en la estructu-ra, como en el caso de material metálico. Con el círculo rojo se indica la posición de los dos prototi-pos PAMELA v3 sobre la mesa, frente a la máquina de tracción. Durante todas los ensayos realizados, para cada carga aplicada al material, se han adquirido las señales ultrasónicas utilizando el hardware PAMELA v3. Las señales han sido posteriormente enviadas a los ordenadores portátiles para su posterior procesado de cara a la obtención de los correspondientes mapas SHM. Mediante los mapas SHM se pretende detectar y comparar el efecto de cada una de las cargas a las que se ha sometido a cada uno de los especimenes.

16. Difusión

Alrededor de la arquitectura electrónica avanzada del equipo PAMELA v3 se ha realizado una solicitud de patente por vía nacional y por vía internacional (PCT o

Page 184: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

166 Luciano Casado Martínez

Patent Cooperation Treaty) en la oficina española de patentes, con el número de solicitud PCT/ES2011/070428 [Cokonaj et al. 2011]. Por medio de esta patente, se persigue proteger la arquitectura electrónica avanzada presentada en apartados anteriores. Asimismo, sobre la misma arquitectura, se está realizando un artículo que se desea enviar a la revista IEEE transactions on Ultrasonics, Ferroelectrics, and Frequency Control (índice de impacto: 1,800, índice de impacto a cinco años: 2,061). El título del artículo es “An Advanced Electronic Architecture for Ultrasonic Structural Health Monitoring”. En el artículo se plantea la arquitectura electrónica del hardware PAMELA v3 y se muestran algunos resultados de ensayos. La presentación de este artículo está condicionada por la solicitud de patente anterior y por las posibilida-des de comercialización del sistema.

17. Resumen

En este capítulo se ha presentado una arquitectura electrónica avanzada de análisis de integridad estructural basada en el método de ondas guiadas ultrasónicas, que se ha implementado en el prototipo PAMELA v3. El sistema se conecta a doce transductores de tipo piezoeléctrico, situados en un adaptador sobre una estructura aeronáutica, realiza los ensayos de integridad oportunos sobre la estructura, y en-vía los resultados y alertas necesarias a un computador externo de control. En primer lugar, se han planteado las técnicas de análisis avanzadas que la arqui-tectura electrónica avanzada permite poner en práctica. Dichas técnicas persiguen mejorar los resultados logrados en la detección de defectos con prototipos más li-mitados como PAMELA v2, que estaba basado en una arquitectura electrónica bá-sica. Algunas técnicas, como Time reversal o el Algoritmo de Autoenfoque, permi-ten automatizar la detección de defectos con resolución máxima, realizando el en-foque de las ondas ultrasónicas sobre el defecto de mayor tamaño presente en el material sometido a análisis, sin tener que variar el ángulo de envío de las ondas como en la técnica beamforming. La flexibilidad del sistema permite interconectar varios prototipos PAMELA v3 para realizar ensayos simultáneos sobre diferentes zonas de una misma estructura (denominado Pitch-Catch con múltiples equipos). La arquitectura electrónica avanzada se ha descrito dividiendo su planteamiento en todos los módulos del equipo: el Módulo de Excitación, el Módulo de Adaptación, el Módulo de Adquisición, el Módulo de Detección de Impactos, el Módulo de Pro-cesamiento y Control, el Módulo de Almacenamiento, el Módulo de Comunicacio-nes y el Módulo de Alimentación. El funcionamiento y arquitectura de todos los módulos ha sido descrito en detalle, comentando las características que se han in-tegrado en cada uno de ellos para permitir al sistema desarrollar las técnicas avan-zadas de análisis presentadas en el apartado 1. A partir de la arquitectura electrónica avanzada se ha construido un prototipo de-mostrador, denominado PAMELA v3. El prototipo se ha construido en un PCB de doce capas, que se ha introducido en una caja de material metálico, logrando un

Page 185: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 167

peso total del conjunto de sólo 688 gramos. Sin embargo, teniendo en cuenta que el PCB con todos los componentes instalados tiene un peso de sólo 265 gramos, se puede también introducir en una caja de material plástico (PVC, por ejemplo), haciendo que el peso total del conjunto sea de menos de 400 gramos. Además, como toda pieza de hardware no puede funcionar sin el software asocia-do, se ha presentado también el software del sistema PAMELA v3, que engloba tanto el sistema operativo (Open Source Linux, u OSL), como el sistema de ficheros, pasando por los drivers que controlan varios módulos de PAMELA v3, el software que se encarga de gestionar los ensayos, el servidor de gestión remota y el software de gestión remota. Con el sistema PAMELA v3 se han realizado una serie de pruebas conjuntas con la empresa AERnnova y el Grupo de Investigación sobre Instrumentación y Acústica Aplicada de la Universidad Politécnica de Madrid (UPM) en el Centro de Tecno-logías Aeronáuticas (CTA) situado en Miñano (Álava). El objetivo de las pruebas ha sido comprobar el correcto funcionamiento del prototipo PAMELA v3 basado en la arquitectura electrónica avanzada para SHM. Alrededor del hardware PAMELA v3 se ha presentado una patente por vía nacio-nal y por vía internacional (PCT o Patent Cooperation Treaty), con el número de solicitud PCT/ES2011/070428, titulada “Arquitectura electrónica de múltiples canales para monitorización avanzada de integridad estructural mediante el empleo de ondas ul-trasónicas”, donde se persigue proteger la arquitectura electrónica avanzada que se ha presentado en este capítulo. Sobre la misma arquitectura, se está realizando un artículo que se desea enviar a la revista IEEE transactions on Ultrasonics, Ferroelectrics, and Frequency Control (ín-dice de impacto: 1,800, índice de impacto a cinco años: 2,061). El título del artículo es “An Advanced Electronic Architecture for Ultrasonic Structural Health Monitoring”. En el artículo se plantea la arquitectura electrónica del hardware PAMELA v3 y se muestran algunos resultados de ensayos. La presentación de este artículo está con-dicionada por la solicitud de patente anterior y por las posibilidades de comerciali-zación del sistema.

Page 186: Nuevas Aportaciones a las Tecnologías Electrónicas para la

IV. Técnicas y sistemas avanzados para SHM

168 Luciano Casado Martínez

Page 187: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 169

V. Conclusiones y líneas futuras

En este documento de tesis se ha realizado la exposición sobre el estado del arte de las técnicas no destructivas utilizadas actualmente en el campo aeronáutico, inci-diendo especialmente en la técnica de ondas guiadas ultrasónicas u ondas de Lamb. Esta técnica ha demostrado ser viable de cara a la consecución de un sistema de SHM autónomo, automático y con funcionamiento en tiempo real, para realizar tareas de monitorización de integridad estructural de manera experimental y de manera embarcada (de cara a experimentos puntuales). La línea de investigación ha estado centrada en el método de ondas guiadas ul-trasónicas, alrededor de la cual se han diseñado una arquitectura electrónica básica y una arquitectura electrónica avanzada de SHM, con un claro enfoque hacia su aplicación en el campo aeronáutico. La investigación realizada alrededor de dichas arquitecturas, abre la puerta a la utilización de un sistema embarcado de SHM. Este sistema puede proporcionar un valor añadido al funcionamiento de las aeronaves, gracias a sus múltiples ventajas, como son: la reducción de los costes de manteni-miento directos de las aeronaves, la simplificación y optimización de los futuros programas de mantenimiento, el incremento en la disponibilidad de las estructuras a coste mínimo para el usuario final, la reducción del tiempo de introducción en el mercado de los productos y del coste total del ciclo de vida, hacer más sencilla y precisa la identificación de las causas reales de daños o defectos estructurales, me-jorar la seguridad del transporte aeronáutico, o disponer en cada momento de in-formación actualizada sobre la integridad estructural, vida consumida y vida res-tante de la estructura. El planteamiento de las arquitecturas electrónicas de SHM ha comprendido el di-seño electrónico mediante esquemáticos de todas las arquitecturas, las pruebas rea-lizadas mediante los montajes basados en tarjetas de evaluación de ciertos compo-nentes, el diseño de los circuitos impresos aplicando reglas de compatibilidad elec-tromagnética, el diseño de la electrónica digital integrada en el dispositivo FPGA de la arquitectura avanzada, el diseño del firmware y software necesarios en las dos arquitecturas y las pruebas de laboratorio realizadas de cara a validar el correc-to funcionamiento de las arquitecturas. En primer lugar, se ha propuesto una arquitectura electrónica básica basada en el método de ondas guiadas ultrasónicas, que se ha plasmado en el prototipo PAMELA v2. El sistema propuesto se conecta a un array lineal de doce transducto-res piezoeléctricos. La arquitectura electrónica planteada sólo permite utilizar, al mismo tiempo, un canal como excitación y otro como adquisición, por lo que se encuentra limitada a la utilización de técnicas de inspección básicas como pitch-catch/pulse-echo o el análisis round-robin. No obstante, su bajo peso de 455 gra-mos, sus dimensiones contenidas de 45 mm por 195 mm y por 110 mm, y su bajo consumo hacen este prototipo adecuado para su utilización embarcada. El prototi-po PAMELA v2 ha pasado una serie de tests de laboratorio que han asegurado el correcto desempeño de sus funciones en estructuras aeronáuticas, tanto de material metálico como de material compuesto.

Page 188: Nuevas Aportaciones a las Tecnologías Electrónicas para la

V. Conclusiones y líneas futuras

170 Luciano Casado Martínez

En segundo lugar, se ha planteado una arquitectura electrónica avanzada también basada en el método de ondas guiadas ultrasónicas, que se ha plasmado en el pro-totipo PAMELA v3. Esta arquitectura plantea nuevas propuestas de cara a la utili-zación de modos de inspección avanzados, con un claro enfoque hacia la flexibili-dad en cuanto a configuración y tipos de ensayos a realizar. La arquitectura avanzada incluye un módulo de excitación de doce canales si-multáneos, que permite poner en práctica técnicas de inspección avanzadas como beamfoming, time reversal, algoritmo de autoenfoque o detección de impactos, entre otras. El diseño del módulo de excitación se ha realizado con una gran flexibi-lidad, de modo que, variando la configuración de una serie de registros, se puedan ejecutar diferentes técnicas de análisis de una forma sencilla y efectiva. El módulo de excitación permite generar señales ultrasónicas diferentes para cada canal de excitación, así como aplicar a cada una de las señales retardos configura-bles (indispensable para aplicar los modos time reversal o el algoritmo de autoen-foque). Dichos retardos pueden ser encadenados de cara a utilizar retardos escalo-nados entre canales (beamforming), en pasos de 10 ns en el caso del prototipo PAMELA v3. Se ha propuesto un sistema para la distribución y selección de los canales por los que se generan las señales. Asimismo, la amplitud de las señales ultrasónicas puede ser configurada en varios niveles, pudiendo adaptar el nivel de tensión máxima al tamaño de la estructura que se desea monitorizar. Los converso-res digital a analógico (DAC) de gran velocidad (100 MHz) posibilitan generar las señales ultrasónicas con una precisión de 10 ns y 10 bits, mientras el filtro anti-aliasing y el amplificador de señal configuran el nivel de tensión aplicado a los transductores piezoeléctricos. Una última etapa de conmutación incluida en el mó-dulo de excitación permite activar y desactivar la generación de señales en cada canal. La arquitectura avanzada propuesta dispone también de un módulo de adquisición de doce canales, que adquiere, a alta velocidad y de manera concurrente, las seña-les ultrasónicas presentes en los transductores piezoeléctricos. El módulo de adqui-sición dispone de diversas opciones de configuración, como ganancias de adquisi-ción variables, diferentes filtros de antialiasing o filtros FIR con posibilidad de diezmado. El circuito de clamping incluido en la entrada del front-end de adquisi-ción posibilita excitar cada transductor piezoeléctrico con una señal ultrasónica y realizar, al mismo tiempo, la adquisición de las señales presentes en dicho trans-ductor. El front-end del conversor analógico a digital (ADC), con una ganancia de adquisición configurable, permite utilizar técnicas TGC o time gain compensation para variar la ganancia de adquisición en el tiempo de cara a compensar las pérdi-das en la amplitud de los ecos ultrasónicos debidas a la atenuación de las ondas con la profundidad. Los circuitos digitales del módulo de adquisición permiten ordenar, numerar y acondicionar las muestras de las señales adquiridas en cada canal, para después almacenar estas muestras en memoria de cara a un posterior análisis de integridad estructural. En técnicas como beamforming en recepción, donde es necesario apli-car unos retardos determinados a las señales adquiridas, estos retardos se aplican

Page 189: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 171

una vez las señales han sido almacenadas en memoria por el módulo de adquisi-ción. La concurrencia y simultaneidad necesarias en los módulos de excitación y adqui-sición se ha resuelto mediante la utilización de un dispositivo FPGA, que en el caso del prototipo PAMELA v3, incluye además un sistema procesador embebido. Las conexiones de alta velocidad disponibles en la FPGA permiten una comunicación entre los módulos de excitación y adquisición, necesaria para la aplicación de técni-cas como time reversal, donde las señales adquiridas deben ser invertidas en el tiempo y reemitidas al medio. La arquitectura electrónica avanzada propuesta en este documento de tesis tam-bién integra un módulo de detección de impactos, mediante el cual es posible de-tectar impactos en la estructura que se está monitorizando. En el modo de detec-ción de impactos, el sistema SHM avanzado puede precisar la posición del impacto en la estructura y la gravedad de los defectos producidos. Este modo permite suplir las carencias existentes en la actualidad en cuanto a la evaluación de las consecuen-cias de los impactos de aves durante las maniobras de despegue y aterrizaje[The Aviation Herald 2011c], por fenómenos metereológicos como fuerte granizo, u otros. El nivel de referencia para la detección es configurable con gran precisión (en pasos de 60 mV). La arquitectura electrónica avanzada dispone de una serie de circuitos digitales necesarios para la gestión y configuración de los ensayos, tanto de manera interna como externa: un módulo de almacenamiento, un módulo de procesamiento y un sistema de comunicaciones. En el módulo de almacenamiento se guardan las señales ultrasónicas adquiridas para un posterior análisis de integridad de señal. También se almacenan las confi-guraciones, el sistema operativo, etc., ayudando de esta forma a que el sistema pueda funcionar de manera autónoma y automática. El módulo de procesamiento y control gestiona el resto de módulos del sistema, ordena la realización de los ensayos apropiados y realiza el procesamiento de señal de cara a determinar la integridad de la estructura. Este módulo dota al sistema SHM de autonomía a la hora de evaluar si un análisis de integridad muestra un cierto deterioro estructural, ayudando además a que el sistema pueda funcionar de manera automática en tiempo real. El sistema de comunicaciones, tanto inalámbricas como guiadas, permite comuni-car el sistema SHM con otros equipos de gestión externa, ya sea para transmitir resultados de análisis al piloto de la aeronave o a los equipos de mantenimiento, para realizar una reconfiguración del sistema de manera remota o para realizar cualquier otro tipo de gestión. La inclusión de una interfaz inalámbrica permite al sistema SHM comunicarse con otros equipos de gestión externa cuando aquel se instala en zonas de difícil acceso, en las cuales no es posible disponer de comunica-ciones guiadas. Los sistemas de comunicación desarrollados permiten controlar varios equipos PAMELA v3 desde un único equipo de control.

Page 190: Nuevas Aportaciones a las Tecnologías Electrónicas para la

V. Conclusiones y líneas futuras

172 Luciano Casado Martínez

En la arquitectura avanzada se ha incluido un módulo de adaptación que propor-ciona métodos de protección, aislamiento y adaptación en la conexión del sistema de SHM con los transductores piezoeléctricos. Se incluye un transformador que proporciona aislamiento galvánico en la conexión con los transductores y amplifica por dos el nivel de tensión de las señales ultrasónicas en los piezoeléctricos, utili-zando una relación uno a dos (1:2). También se han incluido elementos TVS, que protegen el sistema frente a tensiones transitorias de media amplitud y duración, y descargadores de gas, que protegen frente a tensiones transitorias de gran ampli-tud (mayor de 300 Vpico-a-pico) y corta duración. El módulo de alimentación se ha realizado siguiendo una distribución en cascada, teniendo especial cuidado en separar adecuadamente las alimentaciones corres-pondientes a elementos analógicos y a elementos digitales. La estructura de este módulo permite apagar ciertas partes del sistema para lograr una reducción de consumo cuando el equipo no se encuentre realizando ningún ensayo o análisis. Asimismo, la elección de conversores de alimentación de gran eficiencia para el diseño ha permitido reducir el consumo del aparato al mínimo. El diseño del circuito impreso del prototipo PAMELA v3 se ha realizado teniendo en cuenta todas las características constructivas necesarias para lograr que el siste-ma funcione correctamente. En la construcción del circuito impreso, se han ajusta-do los grosores de cada una de las capas de material conductor y dieléctrico, para lograr una impedancia de aproximadamente 50 Ω en señales en modo común y de 100 Ω para señales en modo diferencial. Además, se ha tenido especial cuidado a la hora de separar las partes analógica y digital del circuito impreso, respetando las características del dispositivo FPGA incluido en el diseño, así como de ajustar la longitud de las pistas de señal de alta velocidad para evitar errores en la transmi-sión de los datos. En especial, ha supuesto un reto el diseño del interfaz entre la FPGA y las memorias DDR2 SDRAM y los interfaces entre la FPGA y los converso-res DAC y ADC del módulo de excitación y adquisición, respectivamente. De cara a lograr un correcto desempeño del prototipo frente a interferencias electromagné-ticas (del inglés EMI), se han seguido las principales reglas de compatibilidad elec-tromagnética. El prototipo PAMELA v3, basado en la arquitectura electrónica avanzada, tiene bajo peso (688 gramos) y pequeño volumen (30 mm x 165 mm x 162 mm), lo que abre la puerta a la utilización embarcada del prototipo en una aeronave. También se ha diseñado el firmware para controlar internamente las funciones del prototipo y gestionar los ensayos. El prototipo ha sido verificado mediante la realización de numerosos ensayos fun-cionales de cara a comprobar el correcto funcionamiento del sistema en el laborato-rio de investigación. Además, se ha colaborado con la empresa AERnnova Enginee-ring Solutions Ibérica S.A. para realizar los ensayos finales en el Centro de Tecno-logías Aeronáuticas (CTA) de Miñano (Álava).

Page 191: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 173

Los resultados de la investigación, planteados como arquitecturas electrónicas, se han difundido en forma de patentes y artículos. En concreto, se han registrado las dos arquitecturas electrónicas en la oficina española de patentes:

• Patente ES201000242, de título Sistema Integrado para Monitorización de Es-tructuras Mediante la Técnica de Ultrasonidos [Cokonaj et al. 2010].

• Patente PCT/ES2011/070428, de título Arquitectura Electrónica para Monito-rización Avanzada de Estructuras Mediante Empleo de Múltiples Ondas Ultrasónicas [Cokonaj et al. 2011].

Se ha enviado un artículo a la revista Structural Health Monitoring. An International Journal, con un índice de impacto de 2,068. El título del artículo es An Integrated Electronic System for Ultrasonic Structural Health Monitoring. En el artículo se plantea la arquitectura electrónica básica que se ha implementado en el prototipo PAMELA v2 y se muestran algunos resultados de ensayos. En la actualidad se está redactando un segundo artículo que se desea enviar a la revista IEEE transactions on Ultrasonics, Ferroelectrics, and Frequency Control (ín-dice de impacto: 1,800, índice de impacto a cinco años: 2,061). El título del artículo es An Advanced Electronic Architecture for Ultrasonic Structural Health Monitoring. En el mismo, se plantea la arquitectura electrónica avanzada del hardware PAMELA v3 y se muestran algunos resultados de ensayos. La presentación de este artículo está condicionada por la segunda solicitud de patente referida a la arquitectura electrónica avanzada y por las posibilidades de comercialización del sistema basa-do en esta arquitectura. En cuanto a líneas futuras de investigación, además de las pruebas ya realizadas con los prototipos de SHM, es necesario realizar muchos otros ensayos experimen-tales para determinar el funcionamiento y la utilidad de los prototipos de SHM en todo tipo de condiciones. Los ensayos realizados hasta la fecha e incluidos en este documento de tesis están enfocados a la demostración del correcto funcionamiento de los prototipos basados en las arquitecturas electrónicas planteadas. Sin embargo, se debe realizar una gran cantidad de pruebas con diferentes materiales, diferentes técnicas de análisis y/o diferentes condiciones de cara a aseverar el correcto des-empeño de los prototipos PAMELA v2 y PAMELA v3 en los entornos de SHM a los que están destinados. Algunas de estas pruebas están siendo realizadas en la actua-lidad, mientras que otras están siendo planificadas para un futuro cercano, lo que podría dar lugar a una segunda tesis doctoral descentrada de la electrónica de las arquitecturas y centrada, por tanto, en la realización de ensayos estructurales con los prototipos presentados en este documento de tesis. De cara al desarrollo de nuevos prototipos se considera que la arquitectura electró-nica avanzada se puede conservar y que las mejoras a incluir en un futuro sistema de SHM podrían consistir en añadir o mejorar algunas cualidades, como por ejem-plo:

• La posibilidad de incluir un método de auto-harvesting, de modo que la ar-quitectura se auto-alimente de la energía generada a partir de las vibracio-nes de la aeronave, evitando utilizar alimentación externa. La energía de las

Page 192: Nuevas Aportaciones a las Tecnologías Electrónicas para la

V. Conclusiones y líneas futuras

174 Luciano Casado Martínez

vibraciones se almacenaría en una batería o supercondensador, lo que re-dunda en la ausencia de cables de alimentación entre el equipo y los siste-mas de alimentación de la aeronave (reducción de peso).

• Se pueden incluir en el sistema modos de sleep inducido, que permitan re-ducir en gran medida el consumo del prototipo en condiciones de stand-by.

• Algunos componentes, como la FPGA, pueden ser mejorados si se sustitu-yen por elementos de reciente aparición en el mercado, que cuenten con me-jores características de consumo y prestaciones, como nuevas FPGA Virtex-6 o Virtex-7.

• Tratamiento hardware simultáneo de las 12 señales adquiridas, a través de circuitos lógicos incluidos en la FPGA, que aceleren enormemente el tiempo de procesado de las señales.

• Plantear la utilización de un mismo prototipo para el análisis de varias es-tructuras cercanas, utilizando algún tipo de multiplexación analógica que permita alternar el análisis de integridad entre todas ellas. Una de las posibi-lidades para lograrlo sería el duplicado/triplicado de los elementos del mó-dulo de adaptación, incluyendo circuitos de multiplexado.

• Utilización de varios sistemas de comunicaciones guiadas/inalámbricas pa-ra proporcionar redundancia en las comunicaciones.

En cierta medida, estas líneas futuras ya han comenzado su avance, dado que ac-tualmente se está estudiando en otro proyecto la miniaturización de la arquitectura avanzada planteada en el capítulo IV. Esta miniaturización permitiría, según los resultados preliminares del estudio, reducir en casi un 50% el tamaño del circuito impreso, disminuyendo además el consumo, al utilizar componentes electrónicos de última generación, como nuevas familias de FPGAs. Esta optimización incluye mejoras en la tecnología de fabricación del PCB y en el encapsulado de los compo-nentes. Las arquitecturas planteadas presentan una importante posibilidad de comerciali-zación, en la que la empresa AERnnova se encuentra manifiestamente interesada. En efecto, la compañía Airbus ha manifestado [Speckmann et al. 2006, Speckmann et al. 2006] estar muy interesada en la introducción de sistemas SHM automáticos, autónomos y con funcionamiento en tiempo real en sus aeronaves. Asimismo, con el desarrollo de otras tecnologías más avanzadas como la nanotec-nología, desde la propia Airbus [Bockenheimer 2006] se abre la puerta a la utiliza-ción de nuevos transductores PZT, fibras ópticas recubiertas de material piezoeléc-trico (integración de sensor y actuador diferentes en el mismo elemento transduc-tor) o incluso pinturas denominadas piezoeléctricas, que se fabrican mezclando pinturas comunes con polvo nanoscópico de titanato zirconato de plomo. Estas pinturas permitirían utilizar la superficie de la aeronave como un gran transductor piezoeléctrico de ondas ultrasónicas para la detección de impactos, vibración o da-ños estructurales. En este caso, la conexión entre el sistema SHM y el transductor (la superficie) se realizaría mediante unos electrodos conductores, facilitando la instalación en las aeronaves.

Page 193: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 175

Otro aspecto de trabajo futuro tiene que ver con la adecuación de la arquitectura electrónica avanzada al ámbito aeronáutico, de cara a su industrialización. La in-dustrialización de un sistema aeronáutico requiere de una serie de certificaciones para garantizar su avionabilidad. Los requerimientos vienen impuestos, entre otros, porque los sistemas electrónicos cumplan unas condiciones en cuanto a tem-peraturas de funcionamiento, fiabilidad, robustez o inmunidad frente a radiaciones electromagnéticas (EMI). La extensión del sistema propuesto al entorno aeroespacial supone indudablemen-te un reto para futuras investigaciones.

Page 194: Nuevas Aportaciones a las Tecnologías Electrónicas para la

V. Conclusiones y líneas futuras

176 Luciano Casado Martínez

Page 195: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 177

VI. Referencias

Acellent Technologies, Inc, Acellent Technologies, Inc. , 5 de junio de 2011, Dispon-ible en: <http://64.105.143.179/>.

Achenbach, J. D., Wave Propagation in Elastic Solids, Elsevier, pag. 426, 1973.

AEND, Asociación Española De Ensayos no Destructivos, Bilbao, 2009 [citado 30 de Marzo de 2011].

Aernnova Engineering Solutions Ibérica S.A., AERnnova Engineering Solutions Ibérica S.A.;, 3 de abril de 2011, Disponible en: <http://www.aernnova.com/user/sp/locations_engineering1.php?localizacion=engi1>.

Aernnova Engineering Solutions Ibérica S.A., Integrated Phased Array Transducer, System and Methodology for Structural Health Monitoring of Aerospace Structures, España, 18 de febrero de 2011, 2011b, ISSN: EP11382045.

AESA, Agencia Estatal De Seguridad Aérea, 29 de Marzo de 2011, Disponible en: <http://www.seguridadaerea.es/aesa/lang_castellano/>.

Analog Devices Inc., Analog Devices, Inc. , 5 de abril de 2011, Disponible en: <http://www.analog.com/en/index.html>.

Baker, A., Dutton, S. y Kelly, D., Composite Materials for Aircraft Structures, Second Edition, AIAA, pag. 400, 2004, ISBN: 1563475405.

Balageas, D., Structural Health Monitoring, Balageas, D.; Fritzen, C. y Güemes, A. eds., Wiley-ISTE, 2006, Capítulo 1: Introduction to Structural Health Monitoring, pag. 13-39, ISBN: 9781905209019.

Balageas, D., et al., Structural Health Monitoring. Chapters 2 to 7, Balageas, D.; Fritzen, C. y Güemes, A. eds., Wiley-ISTE, pag. 496, 2006, ISBN: 9781905209019.

Baptista, F. G. y Filho, J. V., A New Impedance Measurement System for PZT-Based Structural Health Monitoring , IEEE Transactions on Instrumentation and Measure-ment, 2009, vol. 58, no. 10, pag. 3602-3608, ISSN: 0018-9456.

Bar-cohen, Y. y Chimenti, D. E., Leaky Lamb Waves in Fiber-Reinforced Composite Plates, Review of Progress in Quantitative NDE, 1985, vol. 3B, pag. 1043-1049.

Bar-cohen, Y., Mal, A. K. y Shyh-shiuh, L., NDE of Composite Materials using Ultra-sonic Oblique Insonification, American Society for Nondestructive Testing, 1993, vol. 51, no. 11.

Page 196: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

178 Luciano Casado Martínez

Bar-cohen, Y., Shyh-shiuh, L. y Mal, A. K., NDE of Composites using Leaky Lamb Waves (LLW), Journal of Nondestructive Testing & Ultrasonics, 2001, vol. 6, no. 2.

Bartels, G., Heida, J. H., McFeat, J. y Boller, C., Health Monitoring of Aerospace Structures: Smart Sensor Technologies and Signal Processing, Staszewski, W. J.; Boller, C. y Tomlinson, G. R. eds., Wiley, 2004, Capítulo 1: Introduction, pag. 1-28, ISBN: 0-470-84340-3.

Bhalla, S. y Soh, C. K., Electromechanical Impedance Modeling for Adhesively Bonded Piezo-Transducers, Journal of Intelligent Material Systems and Structures, 2004, vol. 15, no. 12, pag. 955-972.

Bockenheimer, C., Airbus Needs from Nanotechnology for Structural Health Monitoring (SHM), Hynes Convention Centre, Boston, Massachusetts, USA ed., 2006.

Bogatin, E., Signal Integrity - Simplified, Prentice Hall, pag. 608, 2003, ISBN: 0130669466.

Boller, C., et al., Advanced Course Structural Health Monitoring, Barcelona, 2009.

Boller, C. y Staszewski, W. J., Health Monitoring of Aerospace Structures: Smart Sen-sor Technologies and Signal Processing, Staszewski, W. J.; Boller, C. y Tomlinson, G. R. eds., Wiley, 2004, Capítulo 2: Aircraft Structural Health and Usage Monitoring, pag. 29-74, ISBN: 0-470-84340-3.

Brunner, E., how Ultrasound System Considerations Influence Front-End Component Choice, Analog Dialogue, 2002.

Carter, G., Time Delay Estimation for Passive Sonar Signal Processing, IEEE Transac-tions on Acoustics, Speech and Signal Processing, 1981, vol. 29, no. 3, pag. 463-470, ISSN: 0096-3518.

CDTI, Centro Para El Desarrollo Tecnológico Industrical - Programa CENIT , 14 de Marzo de 2011, Disponible en: <http://www.cdti.es/index.asp?MP=7&MS=23&MN=3>.

Cedrat Group, Cedrat Group, 5 de junio de 2011, Disponible en: <http://www.cedrat.com/>.

Chakroun, N., Fink, M. y Wu, F., Time Reversal Processing in Ultrasonic Nondestruc-tive Testing, IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Con-trol, 1995, vol. 42, no. 6, pag. 1087-1098, ISSN: 0885-3010.

Chimenti, D. E. y Martin, R. W., Nondestructive Evaluation of Composite Laminates by Leaky Lamb Waves, Ultrasonics, 1991, vol. 29, no. 1, pag. 13-21, ISSN: 0041-624X.

Chimenti, D. E. y Nayfeh, A. H., Leaky Lamb Waves in Fibrous Composite Laminates, Journal of Applied Physics, 1985, vol. 58, no. 12, pag. 4531-4538, ISSN: 0021-8979.

Page 197: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 179

Cokonaj, V., Aranguren, G. y Casado, L., Arquitectura Electrónica De Múltiples Ca-nales Para Monitorización Avanzada De Integridad Estructural Mediante El Empleo De Ondas Ultrasónicas, España, 15 de junio de 2011, 2011, ISSN: PCT/ES2011/070428.

Cokonaj, V., Aranguren, G. y Casado, L., Sistema Integrado Para Monitorización De Estructuras Mediante La Técnica De Ultrasonidos, España, 19 de Febrero de 2010, 2010, ISSN: ES201000242.

Degertakin, F. L. y Khuri-Yakub, B., Lamb Wave Excitation by Hertzian Contacts with Applications in NDE , IEEE Transactions on Ultrasonics, Ferroelectrics and Fre-quency Control, 1997, vol. 44, no. 4, pag. 769-779, ISSN: 0885-3010.

Deutsch, W. A. K., Cheng, A. y Achenbach, J. D., Self-Focusing of Rayleigh Waves and Lamb Waves with a Linear Phased Array , Research in Nondestructive Evaluation, 1997, vol. 9, no. 2, pag. 81-95.

D-Link Iberia S.L., D-Link DWL-G810, 10 de Marzo de 2011, Disponible en: <http://www.dlink.es/>.

Dr.Hillger, USPC 5000 Health-Monitoring-System for Lamb-Wave Testing , 22 Enero de 2011, Disponible en: <http://www.dr-hillger.de/HauptseitenE/F-Health_Monitoring-e.html>.

Duflo, H., Morvan, B. y Izbicki, J. L., Interaction of Lamb Waves on Bonded Composite Plates with Defects , Composite Structures, 2007, vol. 79, no. 2, pag. 229-233, ISSN: 0263-8223.

EASA, European Aviation Safety Agency, 28 de Marzo de 2011, Disponible en: <http://www.easa.eu.int/>.

Ercsey-Ravasz, M., et al., Structural Health Monitoring of a Helicopter Tail Boom using Lamb Waves – Advanced Data Analysis of Results obtained with Integrated Optical Fibre Sensing Technology, EU Project Meeting of Aircraft Integrated Structural Health As-sessment, 2007.

FAA, Federal Aviation Administration, 28 de Marzo de 2011, Disponible en: <http://www.faa.gov/>.

Fairchild Semiconductor Inc., Fairchild Semiconductor, Inc. , 6 de abril de 2011, Dis-ponible en: <http://www.fairchildsemi.com>.

Fink, M., Time Reversal of Ultrasonic Fields. I : Basic Principles , New York, NY, ETATS-UNIS, Institute of Electrical and Electronics Engineers, 1992.

Flax, S. W. y O'Donnell, M., Phase-Aberration Correction using Signals from Point Reflectors and Diffuse Scatterers: Basic Principles , IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 1988, vol. 35, no. 6, pag. 758-767, ISSN: 0885-3010.

Page 198: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

180 Luciano Casado Martínez

Giurgiutiu, V., Self-Processing Integrated Damage Assessment Sensor for Structural Health Monitoring , February, 2007a.

Giurgiutiu, V., Structural Health Monitoring with Piezoelectric Wafer Active Sensors, Academic Press, Burlington, MA, pag. 760, 2007b, ISBN: 0-12-088760-6.

Giurgiutiu, V., Structural Health Monitoring System Utilizing Guided Lamb Waves Embedded Ultrasonic Structural Radar , Febrero 2006, 2006, ISSN: US6996480.

Giurgiutiu, V., Tuned Lamb Wave Excitation and Detection with Piezoelectric Wafer Active Sensors for Structural Health Monitoring , Journal of Intelligent Material Sys-tems and Structures, 2005, vol. 16, no. 4, pag. 291-305.

Giurgiutiu, V., Embedded NDE with Piezoelectric Wafer Active Sensors in Aerospace Application, Journal of Materials (JOM), 2003a.

Giurgiutiu, V., Lamb Wave Generation with Piezoelectric Wafer Active Sensors for Structural Health Monitoring , pag. 111-122, Bellingham, WA, INTERNATIONAL, Society of Photo-Optical Instrumentation Engineers, 2003b.

Giurgiutiu, V., Bao, J. y Zhao, W., Piezoelectric Wafer Active Sensor Embedded Ultra-sonics in Beams and Plates , Experimental Mechanics, 2003, vol. 43, no. 4, pag. 428-449, ISSN: 0014-4851.

Giurgiutiu, V. y Rogers, C. A., Recent Advancements in the Electromechanical (E/M) Impedance Method for Structural Health Monitoring and NDE , vol. 3329, pag. 536-547, 1998.

Giurgiutiu, V. y Xu, B., Development of a Field-Portable Small-Size Impedance Analyzer for Structural Health Monitoring using the Electromechanical Impedance Technique , So-ciety of Photo-Optical Instrumentation Engineers Conference Series, 2004, vol. 5391, pag. 774-785.

Giurgiutiu, V. y Yu, L., Optimized Embedded Ultrasonics Structural Radar System with Piezoelectric Wafer Active Sensor Phased Arrays for in-Situ Wide-Area Damage Detection , US Patents, 2009, no. 20090048789.

Giurgiutiu, V. y Zagrai, A., Embedded Self-Sensing Piezoelectric Active Sensors for on-Line Structural Identification , ASME Journal of Vibration and Acoustics, 2002, vol. 124, no. 1, pag. 116-125.

Giurgiutiu, V. y Zagrai, A., Characterization of Piezoelectric Wafer Active Sensors , Journal of Intelligent Material Systems and Structures, 2000, vol. 11, no. 12, pag. 959-976.

Giurgiutiu, V., Zagrai, A. y Bao, J., Damage Identification in Aging Aircraft Structures with Piezoelectric Wafer Active Sensors , Journal of Intelligent Material Systems and Structures, 2004, vol. 15, no. 9-10, pag. 673-687.

Page 199: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 181

Giurgiutiu, V., Zagrai, A. y Bao, J., Embedded Active Sensors for in-Situ Structural Health Monitoring of Thin Wall Structures, Journal of Pressure Vessel Technology, 2002a, vol. 124, no. 3, pag. 293-302, ISSN: 0094-9930.

Giurgiutiu, V., Zagrai, A. y Jing Bao, J., Piezoelectric Wafer Embedded Active Sensors for Aging Aircraft Structural Health Monitoring , Structural Health Monitoring, 2002b, vol. 1, no. 1, pag. 41-61.

Graff, K. B., Wave Motion in Elastic Solids, Oxford University Press, pag. 75-75, 1977, ISBN: 0003-682X.

Grondel, S., Paget, C., Delebarre, C., Assaad, J. y Levin, K., Design of Optimal Con-figuration for Generating A[Sub 0] Lamb Mode in a Composite Plate using Piezoceramic Transducers , The Journal of the Acoustical Society of America, 2002, vol. 112, no. 1, pag. 84-90.

Hall, S. H. y Heck, H. L., Advanced Signal Integrity for High-Speed Digital Designs, Wiley - IEEE Press, pag. 680, 2009, ISBN: 0470192356.

Holroyd, T., the Acoustic Emission and Ultrasonic Monitoring Handbook, Coxmoor Publishing Company, Oxford,UK, pag. 160, 2000, ISBN: 1901892077.

IEEE, IEEE Standard 802.3: Ethernet , 1995.

JEDEC, JEDEC Standard JESD79-2F: DDR2 SDRAM Standard, 2009.

Katzir, S., The Beginnings of Piezoelectricity, Katzir, S. ed., Springer Netherlands, 2006, Capítulo 1: The Discovery of the Piezoelectric Effect , pag. 15-64, Disponible en: <http://dx.doi.org/10.1007/978-1-4020-4670-4_2>, ISBN: 978-1-4020-4670-4.

Kearns, J., Peña, J. y Criado, A., Development and Flight Demonstration of a Piezoelec-tric Phased Array Damage Detection System, Stanford University ed., 2007.

Kessler, S. S., Spearing, S. M. y Soutis, C., Optimization of Lamb Wave Methods for Damage Detection in Composite Materials , Structural Health Monitoring (Third Edi-tion), 2001.

Kim, J. K., Zhou, D., Ha, D. S. y Inman, D., A Practical System Approach for Fully Autonomous Multi-Dimensional Structural Health Monitoring , San Diego, CA, USA ed., vol. 7292, no. 1, pag. 72921, 2009.

Kriezis, E. E., Tsiboukis, T. D., Panas, S. M. y Tegopoulos, J. A., Eddy Currents: Theory and Applications , Proceedings of the IEEE, 1992, vol. 80, no. 10, pag. 1559-1589, ISSN: 0018-9219.

Kundu, T. y Maslov, K., Material Interface Inspection by Lamb Waves , International Journal of Solids and Structures, 1997, vol. 34, no. 29, pag. 3885-3901, ISSN: 0020-7683.

Page 200: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

182 Luciano Casado Martínez

Lee, B. C. y Staszewski, W. J., Modelling of Lamb Waves for Damage Detection in Me-tallic Structures, Part I: Wave Propagation , pag. 804-814, 2003a.

Lee, B. C. y Staszewski, W. J., Modelling of Lamb Waves for Damage Detection in Me-tallic Structures, Part II: Wave Interactions with Damage , pag. 815-824, 2003b.

Lee, S. J. y Sohn, H., Piezoelectric Transducer Self-Diagnosis Under Changing Environ-mental and Structural Conditions , IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 2010a, vol. 57, no. 9, pag. 2017-2027, ISSN: 0885-3010.

Lee, S. J., Sohn, H. y Hong, J., Time Reversal Based Piezoelectric Transducer Self-Diagnosis Under Varying Temperature, Journal of Nondestructive Evaluation, 2010b, vol. 29, no. 2, pag. 75-91, ISSN: 0195-9298.

Lee, Y. y Kuo, S. H., Leaky Lamb Wave of a Piezoelectric Plate Subjected to Conductive Fluid Loading: Theoretical Analysis and Numerical Calculation , Journal of Applied Physics, 2006a, vol. 100, no. 7, pag. 073519-073519-10, ISSN: 0021-8979.

Lee, Y. y Kuo, S. H., Leaky Lamb Waves of a Piezoelectric Plate Subjected to Conductive Fluid Loading: An Experimental Study, Ultrasonics, Ferroelectrics and Frequency Control, IEEE Transactions on, 2006b, vol. 53, no. 9, pag. 1617-1626, ISSN: 0885-3010.

Legendre, S., Massicotte, D., Goyette, J. y Bose, T. K., Wavelet-Transform-Based Method of Analysis for Lamb-Wave Ultrasonic NDE Signals , IEEE Transactions on In-strumentation and Measurement, 2000, vol. 49, no. 3, pag. 524-530, ISSN: 0018-9456.

Lehmann, M., Büter, A., Frankenstein, B., Schubert, F. y Brunner, B., Monitoring System for Delamination Detection Qualification of Structural Health Monitoring (SHM) Systems , Stuttgard ed., 2006.

Lemistre, M. y Balageas, D., Structural Health Monitoring System Based on Diffracted Lamb Wave Analysis by Multiresolution Processing , Smart Materials and Structures, 2001, vol. 10, no. 3, pag. 504, ISSN: 0964-1726.

Maslov, K. y Kundu, T., Selection of Lamb Modes for Detecting Internal Defects in Composite Laminates, Ultrasonics, 1997, vol. 35, no. 2, pag. 141-150, ISSN: 0041-624X.

Masri, W., Mina, M., Udpa, S. S., Udpa, L., Xue, T. y Lord, W., Synthetic Aperture Focusing Techniques Applied in the Near Field of a Focused Transducer, vol. 1, pag. 783-786 vol.1, 1995, ISSN: 1051-0117.

Maxim Integrated Circuits, Inc, Maxim Integrated Circuits, Inc. , 1 de Marzo de 2011, Disponible en: <http://www.maxim-ic.com>.

Michaels, T. E. y Michaels, J. E., Integrated Monitoring and Inspection with Attached Ultrasonic Transducers, Proceedings of SPIE, Health Monitoring and Smart Nonde-

Page 201: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 183

structive Evaluation of Structural and Biological Systems, 2006, vol. 6177, pag. 61770E-1-12.

Micron Technology, Inc, Micron Technology, Inc. , 22 de Febrero de 2011, Dispon-ible en: <http://www.micron.com/>.

Micron Technology, Inc, AN055: Interfacing Micron DDR2 Memory Modules to Xilinx Virtex5 FPGAs, a Step-by-Step Guide, NU Horizons Electronics, 2008.

Mitzner, K., Complete PCB Design using OrCAD(R) Capture and PCB Editor, Newnes, pag. 488, 2009, ISBN: 0750689714.

Monkhouse, R. S. C., Wilcox, P. D., Lowe, M. J. S., Dalton, R. P. y Cawley, P., the Rapid Monitoring of Structures using Interdigital Lamb Wave Transducers , Smart Mate-rials and Structures, 2000, vol. 9, no. 3, pag. 304, ISSN: 0964-1726.

Monnier, T., Lamb Waves-Based Impact Damage Monitoring of a Stiffened Aircraft Panel using Piezoelectric Transducers , Journal of Intelligent Material Systems and Struc-tures, 2006, vol. 17, no. 5, pag. 411-421, ISSN: 1045-389X.

Murata Power Solutions Inc., Murata Power Solutions, Inc. , 6 de abril de 2011, Dis-ponible en: <http://www.murata-ps.com/>.

Murvain, G., Inspection, Diagnostics and Monitoring of Construction Materials and Structures by the Acoustic Emission Method, Minerva Press, London,UK, pag. 497, 1999, ISBN: 0754103749.

Nagai, K., A New Synthetic-Aperture Focusing Method for Ultrasonic B-Scan Imaging by the Fourier Transform , IEEE Transactions on Sonics and Ultrasonics, 1985, vol. 32, no. 4, pag. 531-536, ISSN: 0018-9537.

NASA, National Aeronautics and Space Administration (NASA): Aviation Safety Pro-gram, 31 de Marzo de 2011, Disponible en: <http://www.aeronautics.nasa.gov/programs_avsafe.htm>.

Nicomatic, Nicomatic, Inc. , 07 de Marzo de 2011, Disponible en: <http://www.nicomatic.com/>.

Noliac, Inc, Noliac, Inc. , 14 de Marzo de 2011, Disponible en: <http://www.noliac.com/>.

Numonyx, Numonyx - Micron Technology, Inc. , 22 de Febrero de 2011, Disponible en: <http://numonyx.com/en-US/MemoryProducts/Pages/Memory.aspx>.

O'Donnell, M. y Flax, S. W., Phase-Aberration Correction using Signals from Point Reflectors and Diffuse Scatterers: Measurements , IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 1988, vol. 35, no. 6, pag. 768-774, ISSN: 0885-3010.

Page 202: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

184 Luciano Casado Martínez

Olympus NDT, Eddy Current Array Tutorial: Basic Concepts, 24 de Marzo de 2011, Disponible en: <http://www.olympus-ims.com/en/ndt-tutorials/eca-tutorial/what-is-eca/basic/>.

Park, G., Sohn, H., Farrar, C. R. y Inman, D. J., Overview of Piezoelectric Impedance-Based Health Monitoring and Path Forward , pag. 13, Thousands Oaks, CA, ETATS-UNIS, Sage, 2003.

Park, S., Park, G., Yun, C. y Farrar, C. R., Sensor Self-Diagnosis using a Modified Im-pedance Model for Active Sensing-Based Structural Health Monitoring , Structural Health Monitoring, 2009, vol. 8, no. 1, pag. 71-82.

Pierce, S. G., Culshaw, B., Manson, G., Worden, K. y Staszewski, W. J., Applica-tion of Ultrasonic Lamb Wave Techniques to the Evaluation of Advanced Composite Struc-tures, vol. 3986, pag. 93-103, 2000.

Proakis, J. G. y Nanolakis, D. G., Digital Signal Processing, 4th Edition, Prentice Hall, pag. 1004, 2007, ISBN: 0131873741.

Programa Ingenio 2010, Programa Ingenio - Programa CENIT , 14 de Marzo de 2011, Disponible en: <http://www.ingenio2010.es/contenido.asp?menu1=2&menu2=0&menu3=&dir=./02_instrumentos/02_Caracteristicas/02_CENIT>.

Proyecto ICARO, Proyecto ICARO - Programa CENIT , 14 de Marzo de 2011, Dispo-nible en: <http://www.ceniticaro.com/>.

Qing, X. P., Beard, S. J., Kumar, A., Ooi, T. K. y Chang, F., Built-in Sensor Network for Structural Health Monitoring of Composite Structure , Journal of Intelligent Mate-rial Systems and Structures, 2007, vol. 18, no. 1, pag. 39-49.

Raj, B., Jayakumar, T. y Rao, B., Non-Destructive Testing and Evaluation for Struc-tural Integrity , Sadhana, 1995, vol. 20, no. 1, pag. 5-38, ISSN: 0256-2499.

Roach, D. y Rackow, K., Health Monitoring of Aircraft Structures using Distributed Sensor Systems, 2006.

Roh, Y. y Chang, F., Effect of Impact Damage on Lamb Wave Propagation in La-minated Composites, ASME International Mechanical Engineering Congress and Exposition, 1995, pag. 127-138.

Rose, J. L., Ultrasonic Waves in Solid Media , Cambridge University Press, pag. 472, 1999.

Royer, D. y Dieulesaint, E., Elastic Waves in Solids, Springer, 2000.

Saint-Pierre, N., Jayet, Y., Perrissin-Fabert, I. y Baboux, J. C., the Influence of Bond-ing Defects on the Electric Impedance of a Piezoelectric Embedded Element , Journal of Physics D: Applied Physics, 1996, vol. 29, no. 12, pag. 2976, ISSN: 0022-3727.

Page 203: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 185

Sasso, M. y Cohen-Bacrie, C., Medical Ultrasound Imaging using the Fully Adaptive Beamformer , vol. 2, pag. 489-492, 2005, ISSN: 1520-6149.

Shang, B., Song, B. y Chang, F., New Sensor Technologies in Aircraft Structural Health Monitoring , pag. 701-704, 2008.

Sicard, R., Goyette, J. y Zellouf, D., A SAFT Algorithm for Lamb Wave Imaging of Isotropic Plate-Like Structures, Ultrasonics, 2002, vol. 39, no. 7, pag. 487-494, ISSN: 0041-624X.

SMSC, SMSC, Inc. , 23 de Febrero de 2011, Disponible en: <http://www.smsc.com>.

Speckmann, H. y Brousset, C., Structural Health Monitoring (SHM). A Future Alter-native to Conventional NDT?, 2006.

Speckmann, H. y Henrich, R., Structural Health Monitoring (SHM): Overview on Technologies Under Development, AIRBUS, 2004.

Speckmann, H. y Roesner, H., Structural Health Monitoring: A Contribution to the Intelligent Aircraft Structure, Berlín ed., 2006.

Staszewski, W. J., et al., Health Monitoring of Aerospace Structures: Smart Sensor Technologies and Signal Processing, Staszewski, W. J.; Boller, C. y Tomlinson, G. R. eds., Wiley, 2004, Capítulo 4: Damage Detection using Stress and Ultrasonic Waves, pag. 125-162, ISBN: 0-470-84340-3.

Swartz, R. A., Flynn, E., Backman, D., Hundhausen, R. J. y Park, G., Active Piezo-electric Sensing for Damage Identification in Honeycomb Aluminum Panels, 2006.

Synnevag, J. F., Austeng, A. y Holm, S., Adaptive Beamforming Applied to Medical Ultrasound Imaging , IEEE Transactions on Ultrasonics, Ferroelectrics and Fre-quency Control, 2007, vol. 54, no. 8, pag. 1606-1613, ISSN: 0885-3010.

Tan, K. S., Guo, N., Wong, B. S. y Tui, C. G., Experimental Evaluation of Delamina-tions in Composite Plates by the use of Lamb Waves , Composites Science and Technol-ogy, 1995, vol. 53, no. 1, pag. 77-84, ISSN: 0266-3538.

Texas Instruments, Texas Instruments, Inc. , 22 de Febrero de 2011, Disponible en: <http://www.ti.com/>.

The Aviation Herald, Aircraft Damage: Air Transat L101 Near Lyon on Jul 6th 2001, Hail Encounter, 31 de Marzo de 2011, Disponible en: <http://avherald.com/h?article=42fca893&opt=0>.

The Aviation Herald, the Aviation Herald: Incidents and News in Aviation, 24 de Mar-zo de 2011, Disponible en: <http://avherald.com/>.

Page 204: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

186 Luciano Casado Martínez

The Aviation Herald, Bird Strike Accidents during the Last Weeks, 23 de Marzo de 2011, Disponible en: <http://avherald.com/h?search_term=bird+strike&opt=0&dosearch=1&search.x=0&search.y=0>.

The Aviation Herald, Crash: Air France A332 Over Atlantic on Jun 1st 2009, Aircraft Impacted Ocean, 18 de Marzo de 2011, Disponible en: <http://avherald.com/h?article=41a81ef1/0053&opt=0>.

The Aviation Herald, Crash: Air France A332 Over Atlantic on Jun 1st 2009, Aircraft Impacted Ocean (April 2011 Update), 5 de abril de 2011, Disponible en: <http://avherald.com/h?article=41a81ef1/0053&opt=0>.

Viktorov, I. A., Rayleigh and Lamb Waves: Physical Theory and Applications, Plenum Press, pag. 154, 1967, ISBN: B0006BQ6FG.

Wait, J. R., Park, G., Sohn, H. y Farrar, C. R., Plate Damage Identification using Wave Propagation and Impedance Methods , SPIE Proceedings Series, 2004, vol. 5394, pag. 53-65.

Wilcox, P. D., Dalton, R. P., Lowe, M. J. S. y Cawley, P., Mode and Transducer Selec-tion for Long Range Lamb Wave Inspection, Key Engineering Materials, 1999, vol. 167-168, pag. 152-161.

Wilcox, P. D., Lowe, M. J. S. y Cawley, P., the Effect of Dispersion on Long-Range In-spection using Ultrasonic Guided Waves, NDT&E International, 2001, vol. 34, no. 1, pag. 1-9, ISSN: 0963-8695.

Wishaw, M. y Barton, D. P., Comparative Vacuum Monitoring: A New Method of in-Situ Real Time Crack Detection and Monitoring, 2001.

Wu, F., Thomas, J. L. y Fink, M., Time Reversal of Ultrasonic Fields. Il. Experimental Results , IEEE Transactions onUltrasonics, Ferroelectrics and Frequency Control, 1992, vol. 39, no. 5, pag. 567-578, ISSN: 0885-3010.

Xilinx, Inc, Power Management Solutions for Xilinx(R) and Altera(R) FPGAs, 8 de Marzo de 2011, Disponible en: <http://focus.ti.com/lit/sg/slym065/slym065.pdf>.

Xilinx, Inc, Xilinx, Inc. , 24 de Febrero de 2011, Disponible en: <http://www.xilinx.com/>.

Xilinx, Inc, XAPP1121 - Reference System: Optimizing Performance in PowerPC 440 Processor Systems, Lucero, J. ed., 2008.

Ylitalo, J. T. y Ermert, H., Ultrasound Synthetic Aperture Imaging: Monostatic Ap-proach , IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 1994, vol. 41, no. 3, pag. 333-339, ISSN: 0885-3010.

Page 205: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 187

Yu, L. y Giurgiutiu, V., Piezoelectric Wafer Active Sensor Guided Wave Imaging , vol. 7648, no. 1, pag. 76480S, 2010.

Zeroug, S. y Froelich, B., Ultrasonic Leaky-Lamb Wave Imaging through a Highly Con-trasting Layer , vol. 1, pag. 794-798, 2003.

Zhongqing, S., Lin, Y. y Ye, L., Guided Lamb Waves for Identification of Damage in Composite Structures: A Review , Journal of Sound and Vibration, 2006, vol. 295, no. 3-5, pag. 753-780, ISSN: 0022-460X.

Zoughi, R., Microwave Non-Destructive Testing and Evaluation Principles, Springer, pag. 284, 2000, ISBN: 9780412625008.

Page 206: Nuevas Aportaciones a las Tecnologías Electrónicas para la

VI. Referencias

188 Luciano Casado Martínez

Page 207: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 189

VII. Anexo. Glosario de términos

El siguiente compendio de términos no pretende dar una definición perfecta y única de cada término indicado, sino ayudar a la comprensión del significado del término según su empleo en el discurso de este documento de tesis. ABF: Analog Beamforming o Beamforming Analógico. Se trata de la técnica utili-zada en el Beamforming en recepción, donde se utilizan elementos analógicos para desfasar las señales adquiridas según el método de Beamforming (formación de haces). La ventaja del modo ABF es que sólo requiere de un conversor analógico a digital para todos los canales.

AC: Altern Current o Corriente Alterna, es la corriente eléctrica en la que la magni-tud y dirección varían cíclicamente.

ADC: Analog to Digital Converter o Conversor Analógico a Digital Se trata del dispositivo que convierte señales analógicas en digitales mediante un sistema de muestreo.

BGA: Ball Grid Array o Array de Bolas en Rejilla, son soldaduras cuyo fin es unir un componente electrónico a un circuito impreso mediante una serie de bolas de estaño incluidas en el propio encapsulado del componente.

BNC: el conector BNC (del inglés Bayonet Neill-Concelman) es un tipo de conector para uso con cable coaxial. Inicialmente diseñado como una versión en miniatura del Conector Tipo C. BNC es un tipo de conector usado con cables coaxiales como RG-58 y RG-59 en aplicaciones de RF que precisaban de un conector rápido, apto para UHF y de impedancia constante a lo largo de un amplio espectro. Muy utili-zado en equipos de radio de baja potencia, instrumentos de medición como oscilos-copios, generadores, puentes, etc por su versatilidad.

BSM: Base Signal Memory o Memoria de Señal Base. Se refiere a la memoria volá-til presente en el Módulo de Excitación Digital de la arquitectura electrónica avan-zada. Su cometido es almacenar las señales de excitación.

CBM: Condition Based Maintenance o Mantenimiento Según Condición, es el man-tenimiento llevado a cabo cuando surge la necesidad. Este mantenimiento se reali-za cuando uno o varios indicadores muestran que la estructura está a punto de fa-llar o que su rendimiento se ha deteriorado de manera severa.

CFRP: Carbon Fiber Reinforced Polymer o Polímero Reforzado con Fibra de Car-bono. Es un material compuesto muy fuerte, ligero y costoso. Presenta muchas aplicaciones en aeronáutica y automoción, así como en navíos y bicicle-tas/motocicletas modernas, donde la gran resistencia y el bajo peso de este mate-rial es de vital importancia.

Compilador cruzado: un compilador cruzado es un compilador capaz de crear có-digo ejecutable para otra plataforma distinta a aquélla en la que él se ejecuta. Esta herramienta es útil cuando quiere compilarse código para una plataforma a la que

Page 208: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Anexo. Glosario de términos

190 Luciano Casado Martínez

no se tiene acceso, o cuando es incómodo o imposible compilar en dicha plataforma (como es el caso de los sistemas embebidos).

Core Generator: se trata de una de las herramientas incluidas en la suite ofimática Xilinx ISE Design Suite v10.1 para el diseño de lógica de dispositivos FPGA.

CVMTM: Comparative Vacuum Monitoring o Monitorización por Comparación de Vacío. La técnica de CVMTM fue patentada por Ken Davey en 1995, y se basa en medir el incremento de la diferencia de presión entre las cavidades de una sonda que se encuentran alternadamente en el vacío y a presión atmosférica.

DAC: Digital to Analog Converter o Conversor Digital a Analógico. Se trata de un dispositivo que, como su nombre indica, realiza una conversión de señales analógi-cas en señales digitales, de cara a realizar un procesado posterior en el dominio digital.

DB9: conector del estandar RS232, formado por nueve pines. No obstante, este co-nector también se puede utilizar para otras aplicaciones diferentes al estándar del puerto serie.

DBF: Digital Beamforming o Beamforming Digital. Se trata de la técnica utilizada en el Beamforming en recepción, donde se utilizan elementos digitales para desfa-sar las señales adquiridas según el método de beamforming (formación de haces). El modo DBF requiere de tantos conversores analógico a digital como canales de adquisición.

DC: Direct Current o Corriente Continua, es el flujo continuo de electrones a través de un conductor entre dos puntos de distinto potencial. A diferencia de la corriente alterna (CA en español, AC en inglés), en la corriente continua las cargas eléctricas circulan siempre en la misma dirección.

DDR2 SDRAM: Double Data Rate 2 Synchronous Dynamic Random Access Memories. Se trata de un tipo de memoria volátil que funciona tanto en el flanco de subida y en el de bajada, doblando el ancho de banda de una memoria DRAM con-vencional.

DMA: Direct Memory Access o Acceso Directo a Memoria. Un motor DMA permi-te a cierto tipo de componentes acceder a la memoria del sistema para leer o escri-bir independientemente del procesador principal.

DMIPS: Dhrystone Mega Instructions Per Second o Mega Instrucciones por Se-gundo Dhrystone, es un pequeño test sintético que pretende ser representativo de la capacidad computacional de un sistema mediante números enteros. Está basado en estadísticas publicadas sobre uso de particularidades de los lenguajes de pro-gramación, sistemas operativos, compiladores, editores, etc.

DSP: Digital Signal Processor o Procesador Digital de Señal. Se trata de un proce-sador que posee un juego de instrucciones especializado para el procesado de se-ñal.

Page 209: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 191

DSP48: son unidades de procesamiento DSP incluidas dentro de la FPGA Virtex 5 del fabricante Xilinx. Permiten realizar operaciones DSP a gran velocidad (gene-ralmente, sumas y multiplicaciones).

DTS: Damage Tolerant Structure o Estructura Tolerante a Daños. Una estructura de este tipo tiene una cierta tolerancia a daños estructurales, sin comprometer la seguridad operacional antes de que sea ejecutada la correspondiente reparación.

EMI: Electromagnetic Interference o Interferencia Electromagnética, hace alusión a la perturbación que ocurre en cualquier circuito, componente o sistema electrónico, causada por una fuente externa al mismo.

ECFS: Eddy Current Foil Sensors o Sensores Laminares de Corrientes de Eddy. Este método de inspección no destructiva se basa en la generación de un campo de corrientes de Eddy o Foucault sobre la estructura, de cara a detectar la aparición de defectos superficiales en la misma.

FDATool: herramienta de diseño de filtros digitales de la compañía Mathworks. Esta herramienta se encuentra integrada en el Signal Processing Toolbox del pro-grama Matlab.

FIFO: First In First Out o Primero en Entrar Primero en Salir, es un concepto utili-zado en memorias para indicar que los datos que entran en la memoria se extraen en el mismo orden en el que han entrado. Se denomina también con este nombre a la memoria que implementa este modo de funcionamiento.

FIR: Finite Impulse Response o Respuesta Impulsional Finita. Se trata de un tipo de filtro digital en el que, como su nombre indica, si la entrada es una señal impulso, la salida tendrá un número finito de términos no nulos.

Flash: memoria no volátil de gran densidad que almacena, en las arquitecturas electrónicas, configuraciones del sistema, el sistema operativo, el sistema de fiche-ros, etc. Se trata de una memoria de tipo NOR Flash.

FPGA: Field Programmable Gate Array o Array de Puertas Programables por Campo. Se trata de un dispositivo semiconductor que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada in situ mediante un len-guaje de programación especializado.

Front-end: aunque este término tiene varias acepciones, en este documento, el front-end se refiere a la etapa de acondicionamiento de señal anterior a la conver-sión analógico a digital.

FSD: Fully Stressed Design o Diseño de Máximo Estrés, se trata de una optimiza-ción heurística que es ampliamente utilizada en la práctica para el dimensiona-miento de armazones estructurales.

FW: firmware, se trata del conjunto de instrucciones de programa de más bajo nivel que controlan los circuitos electrónicos de las arquitecturas electrónicas. Está basado en un sistema operativo embebido Busybox.

Page 210: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Anexo. Glosario de términos

192 Luciano Casado Martínez

HDMA: Hard DMA, se trata de un motor DMA diseñado en silicio en las FPGA del fabricante Xilinx con el objeto de aumentar el rendimiento en las transferencias a memoria.

HMI: Human-Machine Interface, o interfaz hombre máquina. Se refiere a un inter-faz que permite controlar una máquina desde un punto de vista amigable.

HW: Hardware, corresponde a todas las partes tangibles de un sistema computa-cional: componentes eléctricos, electrónicos, electromecánicos y mecánicos.

IIR: Infinite Impulse Response o Respuesta Impulsional Infinita. Se trata de un tipo de filtro digital en el que, como su nombre indica, si la entrada es una señal impul-so, la salida tendrá un número infinito de términos no nulos, o lo que es lo mismo, nunca vuelve al reposo.

ISE: Integrated Software Environment o Entorno de Software Integrado. Se trata de una suite de herramientas software de la compañía Xilinx para la programación de sus dispositivos FPGA. El nombre ISE puede hacer referencia a la herramienta del mismo nombre incluida en la suite, que se utiliza para diseñar lógica combinacio-nal y secuencial mediante un esquema gráfico y textual.

JTAG: Joint Test Action Group, es el nombre común utilizado para la norma IEEE 1149.1 titulada Standard Test Access Port and Boundary-Scan Architecture para test access ports utilizada para testear PCBs utilizando escaneo de límites.

LED: Light Emitting Diode o diodo emisor de luz. Se trata de dispositivos semi-conductores utilizados como indicadores luminosos.

LLW: Leaky Lamb Waves, el fenómeno denominado Leaky Lamb wave se induce cuando se realiza un ensayo ultrasónico pitch-catch en una estructura que se en-cuentra sumergida en un fluido.

LNA: Low Noise Amplifier o Amplificador de Bajo Ruido. Se trata de un amplifi-cador utilizado en las etapas de un front-end de adquisición para mejorar la figura de ruido del sistema de adquisición.

LocalLink: se trata de una interfaz estándar punto-a-punto diseñada por la com-pañía Xilinx, que presenta un gran rendimiento y funciona de manera síncrona. Se utiliza como interfaz de interconexión de usuario para el acceso a los recursos del sistema.

LVDS: Low-Voltage Differential Signaling o Señalización Diferencial de Bajo Volta-je, se trata de un sistema diferencial de transmisión de señales a alta velocidad, lo que significa que la señal es transmitida por duplicado por el emisor pero con dife-rente voltaje: una señal transmitida con signo positivo, mientras que la otra es transmitida con signo negativo. Se trata de un sistema de transmisión con mayor inmunidad frente al ruido que los sistemas no diferenciales.

MAA: Módulo Analógico de Adquisición, que comprende los circuitos analógicos del módulo de adquisición de la arquitectura electrónica avanzada de SHM.

Page 211: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 193

MDA: Módulo Digital de Adquisición, que comprende los circuitos digitales del módulo de adquisición de la arquitectura electrónica avanzada de SHM.

Mbps: Mega Bits Per Second o Mega Bits Por Segundo, es una es una unidad que se utiliza para cuantificar un caudal de datos equivalente a 1.000 kilobits por se-gundo o 1.000.000 bits por segundo.

MBps: Mega Bytes Per Second o Mega Bytes Por Segundo, es una es una unidad que se utiliza para cuantificar un caudal de datos equivalente a 1.000 kilobytes por segundo o 1.000.000 bytes por segundo.

MBS: Memoria Base de Señal, que se trata de la memoria utilizada en el Módulo de Excitación Digital para almacenar la señal de excitación de un canal concreto.

MAE: Módulo Analógico de Excitación, que comprende los circuitos analógicos del módulo de excitación de la arquitectura electrónica avanzada de SHM.

MDE: Módulo Digital de Excitación, que comprende los circuitos digitales del mó-dulo de excitación de la arquitectura electrónica avanzada de SHM.

MII: el Media Independent Interface o Interfaz Independiente de Medios es un in-terfaz estándar utilizado para conectar una MAC de Fast Ethernet (esto es, de 100 Mbps) con el chip físico de Ethernet.

MPC: Módulo de Procesamiento y Control, que comprende los circuitos dedicados al procesamiento y control de los módulos dentro de la arquitectura electrónica avanzada de SHM.

MRD: Minimum Resolvable Distance o Distancia Mínima Resuelta. Se trata de un parámetro utilizado para determinar el número de periodos y la frecuencia más adecuados para la generación de un modo concreto de onda de Lamb.

NDE/T: siglas de Non-Destructive Evaluation and Testing, que se refiere a las téc-nicas de evaluación de integridad que no alteran la estructura sobre la que se utili-zan.

Omnidireccional: que se puede orientar o utilizar en cualquier dirección o sentido, como es el caso de las transmisiones de ondas ultrasónicas mediante transductores piezoeléctricos.

OSL: Open Source Linux o Linux de Fuentes Abiertas es el término con el que se conoce al software distribuido Linux y desarrollado libremente.

PCB: Printed Circuit Board o Placa de Circuito Impreso, es un medio para sostener mecánicamente y conectar eléctricamente componentes electrónicos, a través de rutas o pistas de material conductor, grabados en hojas de cobre laminadas sobre un sustrato no conductor, comúnmente baquelita o fibra de vidrio

PowerPC: usualmente abreviada PPC, es el nombre original de la arquitectura de computadoras de tipo RISC que fue desarrollada por IBM, Motorola y Apple. En la

Page 212: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Anexo. Glosario de términos

194 Luciano Casado Martínez

FPGA de la arquitectura electrónica avanzada se incluye un procesador PowerPC 440 basado en esta arquitectura.

PROM: se refiere a la memoria cofigurable no volátil que almacena la configura-ción del dispositivo FPGA.

PZT: siglas que hacen referencia al titanato zirconato de plomo, material piezoeléc-trico utilizado para construir transductores piezoeléctricos.

Rigidizador: cada una de las chapas o perfiles angulares unidos al alma de un ele-mento sustentante (estructura) para rigidizarlo. Es conocido también como mon-tante de refuerzo.

RS232: Recommended Standard 232, también conocido como Electronic Industries Alliance RS-232C o puerto serie, es un interfaz que designa una norma para el in-tercambio serie de datos binarios entre un DTE (Equipo terminal de datos) y un DCE (Data Communication Equipment, Equipo de Comunicación de datos).

SAW: Surface Acoustic Waves u Ondas Acústicas Superficiales. Se trata de una onda acústica que viaja a lo largo de la superficie de un material exhibiendo una cierta elasticidad, con una amplitud decreciente exponencialmente con la profun-didad a la que penetra en el material.

SHM: siglas de Structural Health Monitoring o monitorización de integridad es-tructural.

Slew Rate: en electrónica, el Slew Rate es un efecto no lineal en los amplificadores que representa la velocidad de cambio del voltaje de salida con respecto a las varia-ciones en el voltaje de entrada.

SMD: Surface Mount Device o Dispositivo de Montaje Superficial. Se refiere al dis-positivo electrónico que se suelda en un circuito integrado tras un montaje en su-perficie, sin atravesar el propio circuito integrado.

SW: Software, se trata del programa de HMI que funciona en un computador ex-terno y que se comunica con el software embebido (SWe) de la arquitectura elec-trónica avanzada.

SWe: software embebido, en el caso de la arquitectura electrónica avanzada, se trata del conjunto de instrucciones y programas que hacen de enlace entre el firm-ware (FW) y el software embebido (SW).

TR: Time Reversal o Inversión del Tiempo, es la técnica basada en la característica de reciprocidad de la ecuación de ondas. La reciprocidad dicta que si se dispone de una solución de la ecuación de ondas, entonces la inversión en el tiempo de dicha solución (time reversal) es también una solución de la ecuación de ondas.

TRM: Time Reversal Mirror o Espejo de Inversión de Tiempo, es el elemento que permite enfocar un frente de ondas poniendo en práctica la técnica TRSP.

Page 213: Nuevas Aportaciones a las Tecnologías Electrónicas para la

Nuevas Aportaciones a las Tecnologías Electrónicas para la Monitorización de la Integridad Estructural en Aeronáutica

Luciano Casado Martínez 195

TRSP: Time Reversal Signal Processing o Procesado de Señal por Inversión de Tiempo, es una técnica de análisis utilizada en testeo no destructivo de estructuras para enfocar un frente de ondas en un punto concreto.

TTM: Time To Market o Tiempo Hasta Mercado, es el tiempo que es necesario para que un producto llegue al mercado desde el instante de su concepción.

TVS: Transient Viktage Supressor o Supersor de Tensiones Transitorias, se trata del dispositivo encargado de eliminar rápidamente tensiones transitorias a la entrada de un circuito de cara a evitar que éste resulte dañado al recibir una tensión mayor a la soportada.

USB: Universal Serial Bus o bus serie universal, un bus de comunicaciones de hasta 480 Mbps (versión 2.0) muy utilizado en ordenadores personales (PC).

Velocidad característica 1 (V1): también denominada velocidad de decisión, es la velocidad máxima a la cual el piloto todavía puede detener la aeronave y abortar el despegue sin dejar la pista. Es también la velocidad mínima que permite al piloto continuar aumentando la velocidad hacia la V2 de despegue aunque ocurriera un fallo crítico de motor (entre V1 y V2).

VGA: Variable Gain Amplifier o Amplificador de Ganancia Variable. Es un ampli-ficador en el cual su ganancia puede ser configurada de manera dinámica, según los requerimientos.

XPS: Xilinx Platform Studio o Plataforma de Estudio de Xilinx. Se trata de una de las herramientas de la suite de Xilinx de programación de FPGAs, utilizada para desarrollar sistemas embebidos basados en microprocesador.

Page 214: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 215: Nuevas Aportaciones a las Tecnologías Electrónicas para la
Page 216: Nuevas Aportaciones a las Tecnologías Electrónicas para la