multiplexor final

19
Contenido 1. Introducción................................................4 2. Objetivos...................................................5 3. Multiplexor.................................................5 3.1. Definición..............................................5 3.2. Partes de un multiplexor...............................6 3.3. Tipos de multiplexores..................................6 3.3.1. Multiplexor de dos entradas..........................6 3.3.2. Multiplexor de cuatro entradas.......................7 3.3.2.1. Ejemplo de un multiplexor de 4 entradas y dos entradas de selección.......................................8 3.3.3. Líneas de control para un multiplexor de 8 entradas.13 3.3.4. Multiplexor de 4 canales de entrada de dos bits.....13 3.3.5. Multiplexores para la conversión de paralelo a serie13 4. Aplicaciones...............................................14 5. Conclusiones y recomendaciones:............................16 6. Bibliografía:..............................................17

Upload: rolanlipa

Post on 09-Nov-2015

15 views

Category:

Documents


0 download

DESCRIPTION

Multiplexor

TRANSCRIPT

SISTEMAS DIGITALES MULTIPLEXOR

Contenido1.Introduccin42.Objetivos53.Multiplexor53.1.Definicin53.2.Partes de un multiplexor63.3.Tipos de multiplexores63.3.1.Multiplexor de dos entradas63.3.2.Multiplexor de cuatro entradas73.3.2.1.Ejemplo de un multiplexor de 4 entradas y dos entradas de seleccin83.3.3. Lneas de control para un multiplexor de 8 entradas133.3.4. Multiplexor de 4 canales de entrada de dos bits133.3.5. Multiplexores para la conversin de paralelo a serie134.Aplicaciones145.Conclusiones y recomendaciones:166.Bibliografa:17

1. Introduccin En las comunicaciones, y sistemas de computadora se ejecutan muchas operaciones mediante circuitos lgicos combinatorios. Cuando un circuito se ha diseado para efectuar alguna tarea en una aplicacin, a menudo tambin encuentra empleo en otras diferentes aplicaciones. En este tema se tratarn los multiplexores tanto a nivel SSI como MSI y cmo podemos aprovechar sus funciones en el desarrollo de circuitos combinacionales.Un Multiplexor o Selector de datos es un circuito lgico que acepta varias entradas de datos y permite que slo una de ellas pase a un tiempo a la salida. El enrutamiento de la entrada de datos hacia la salida est controlado por las entradas de seleccin (a las que se hace referencia a veces como las entradas de direccin).El multiplexor, tambin conocido como MUX, acta como un conmutador multiposicional controlado digitalmente, donde el cdigo digital aplicado a las entradas de seleccin controla cules entradas de datos sern conmutadas hacia la salida. Por ejemplo, la salida ser igual a la entrada de datos, llammosle D0, para el cdigo de entrada de seleccin que sea cero (ABC=000 en el diagrama de abajo); la salida ser igual D1 para cuando el cdigo de seleccin sea uno y as sucesivamente. Establecido de otra manera, un multiplexor selecciona 1 de N fuentes de datos y transmite los datos seleccionados a un solo canal de salida. Esto se llama multiplexin o multiplexaje.Una aplicacin comn para los MUX es encontrado en las computadoras, en las cuales la memoria dinmica usa las mismas lneas de direccin para el direccionamiento tanto de las filas como de las columnas. Un grupo de multiplexores es usado para primero seleccionar las direcciones de la columna y luego cambiar para seleccionar la de la fila. Este esquema permite que grandes cantidades de memoria sean incorporadas dentro de una computadora mientras se limita a la vez la cantidad de conexiones de cobre requeridas para conectar la memoria al resto del circuito. Por eso es que tambin se les conoce a veces como selectores de datos.

2. Objetivos

Conocer las caractersticas generales y el funcionamiento de los multiplexores (MUX). Descifrar los tipos y las funciones lgicas que tienen cada uno de ellos. Conocer las diversas aplicaciones de los multiplexores en el campo de la electrnica.

3. Multiplexor3.1. DefinicinA los multiplexores tambin se les conoce como selectores de datos o MUX. Son circuitos lgicos combinacionales con varias entradas y una nica salida de datos. Es decir permite dirigir la informacin binaria procedente de diversas fuentes a una nica lnea de salida, para ser transmitida a travs de ella, a un destino comn. El multiplexor acta como un conmutador multiposicional controlado digitalmente, donde el cdigo digital aplicado a las entradas de seleccin controla cules entradas de datos sern conmutadas hacia la salida.La figura 1, muestra el diagrama funcional de un multiplexor general (MUX). En este diagrama las entradas y salidas se trazan como flechas grandes para indicar que pueden ser una o mil, lneas de seales.Figura 1. Diagrama funcional de un multiplexor digital (MUX).

El multiplexor acta como un interruptor de posiciones mltiples controlado digitalmente, donde el cdigo digital que se aplica a las entradas de seleccin controla que entradas de datos sern trasladadas hacia la salida. Dicho de otra manera, un multiplexor selecciona una de N fuentes de datos de entrada y transmite los datos seleccionados a un solo canal de salida. A esto se le llama multiplicacin.

Un multiplexor tiene N entradas, una nica salida y E entradas de seleccin, que cumplen la frmula (las entradas de seleccin son proporcionales a las entradas del multiplexor).3.2. Partes de un multiplexor

1. n Entradas de Control 2. Entradas de Datos.3. Salida del Circuito.

3.3. Tipos de multiplexoresEn las familias lgicas TTL y CMOS se dispone regularmente de multiplexores de dos, cuatro, ocho y diecisis entradas. Estos CIRCUITOS INTEGRADOS bsicos pueden ser combinados para la multiplexacin de un gran nmero de entradas.Un multiplexor sea cual sea el nmero de entradas tendrn aproximadamente el mismo tipo de tabla pero con ms variables de entrada, ms variables de selector pero no de salida, cmo mximo tendr una salida normal y otra con la misma negada.3.3.1. Multiplexor de dos entradasEl multiplexor se caracteriza por tener dos lneas de entrada, una lnea de seleccin y una de salida. Entonces tenemos dos canales de un solo bit. La nica entrada de seleccin, puede tener 2n= 2 posibles valores, donde n = 1.

En el multiplexor, las entradas sonI0eI1y la seleccin viene dada por el valor de la entradaS. Entonces se tienen n= 3 entradas por tanto combinaciones posibles que se muestran en la tabla. El valor de la salida Y depende de los valores lgicos ingresados en los cuadros de texto para las variables de entradaI0,I1yS.

La ecuacin para la tabla mostrada es:Por lgebra booleana

Usando mapas de Karnaugh min trminosS

00101101

011

111

3.3.2. Multiplexor de cuatro entradas

Son necesarias 2 lneas de seleccin o control para lograr direccionar las 4 entradas. Las combinaciones posibles de las lneas de control o seleccin son:

3.3.2.1. Ejemplo de un multiplexor de 4 entradas y dos entradas de seleccin

1. Sistema Combinacional2. Nombre del Sistema Combinacional : MUX 4X13. Variables de Entrada: D4 D3 D2 D1 CB CA

Variables de Salida: STabla de Verdad

SISTEMAS DIGITALES MULTIPLEXOR

Pgina 3

D4D3D2D1CBCAS

00000000

10000010

20000100

30000110

40001001

50001010

60001100

70001110

80010000

90010011

100010100

110010110

12001100X

13001101X

140011100

150011110

160100000

170100010

180100101

190100110

20010100X

210101010

22010110X

230101110

240110000

25011001X

26011010X

270110110

28011100X

29011101X

30011110X

310111110

321000000

331000010

341000100

351000111

36100100X

371001010

381001100

39100111X

401010000

41101001X

421010100

43101011X

44101100X

45101101X

461011100

47101111X

481100000

491100010

50110010X

51110011X

52110100X

531101010

54110110X

55110111X

561110000

57111001X

58111010X

59111011X

60111100X

61111101X

62111110X

63111111X

Diagramas de karnaugh

Formas normales

Forma normal disyuntiva

S: 4, 9, 18, 35

Forma normal conjuntiva

S: 0, 1, 2, 3, 5, 6, 7, 8, 10, 11, 14, 15, 16, 17, 19, 21, 23, 24, 27, 31, 32, 33, 34, 37, 38, 40, 42, 46, 48, 49, 53, 56

Trminos irrelevantes

S: 12, 13, 20, 22, 25, 26, 28, 29, 30, 36, 39, 41, 43, 44, 45, 47, 50, 51, 52, 54, 55, 57, 58, 59, 60, 61, 62, 63

EXPRESIONES SOP SIMPLIFICADAS

S = (D1*~C2*~C1)+(D2*~C2*C1)+(D3*C2*~C1)+(D4*C2*C1)

EXPRESIONES POS SIMPLIFICADAS

S = (D1+C2+C1)*(D2+C2+~C1)*(D3+~C2+C1)*(D4+~C2+~C1)

3.3.3. Lneas de control para un multiplexor de 8 entradasSon necesarias 3 lneas de seleccin para lograr direccionar las 8 entradas. Las combinaciones seran:- 000 para la primera entrada (entrada 0)- 001 para la primera entrada (entrada 1)- 010 para la primera entrada (entrada 2)- 011 para la primera entrada (entrada 3)- 100 para la primera entrada (entrada 4)- 101 para la primera entrada (entrada 5)- 110 para la primera entrada (entrada 6)- 111 para la primera entrada (entrada 7)Como se puede observar, las lneas de seleccin, representan elnmero en binarioque escoge la entrada que pasar a la salida.3.3.4. Multiplexor de 4 canales de entrada de dos bitsCada canal de entrada tiene 2 bits (A0 - A1, B0 - B1, C0 - C1 y D0 - D1) y el canal de salida tambin tienen 2 bits (Q0, Q1). (ver el grfico de la derecha)Las entradas de seleccin son siempre 2. (S1 y S0). En este caso cada vez que se selecciona una entrada, se deja pasar un canal (2 bits) a la salida (tambin de 2 bits)Estemultiplexorse puede implementar con dos multiplexores de 4 canales de un bit cada uno conectados en paralelo.El multiplexor "0" tienen las entradas X0y la salida Q0y el multiplexor "1" tiene las entradas X1y la salida Q1. Donde X puede ser A, B, C o D. Las entradas de seleccin S1 y S0 son comunes a ambosmultiplexores.3.3.5. Multiplexores para la conversin de paralelo a serie Primero de todo hemos de saber que la transmisin de datos de un sistema electrnico a otro se efecta a travs de un conductor llamado bus de datos. La forma ms rpida de trasmitir los datos sera en transmisin en paralelo, con un cable para cada dato. Pero el problema es que saldra muy caro, por lo tanto, se ha creado la transmisin en serie. Esta transmisin lo que hace es pasar por el mismo cable todos los datos, de uno en uno, aunque sea ms lento que en paralelo. Por lo tanto, podemos decir que cualquier multiplexor pasa de un nmero de entradas en paralelo a una salida en serie, seleccionando cada vez una.

4. AplicacionesSus aplicaciones son muy variadas, los podemos encontrar en generadores de las funciones lgicas, display multiplexor de 7 segmentos, etc. Aqu mencionamos algunas:

Una de las principales aplicaciones de los multiplexores es que permite implementar ecuaciones correspondientes al funcionamiento de una funcin lgica, reemplazando con un solo chip gran cantidad de cableado y de circuitos integrados.

El multiplexor ms sencillo es el biplexer, utilizado en la transmisin-recepcin por radar, para conectar alternativamente una nica antena al circuito emisor de ondas y al receptor de ondas reflejadas, como se muestra en la figura.

Son muy utilizados los multiplexores en los displays de calculadoras y relojes electrnicos, ya que consumen mucha menos potencia, logrndose disminuir el consumo de corriente y reducindose el nmero de pins que deben llegar hasta el circuito activador.

Serializador: Convierte datos desde el formato paralelo al formato serie.

Transmisin multiplexada: Utilizando las mismas lneas de conexin, se transmiten diferentes datos de distinta procedencia.

Realizacin de funciones lgicas: Utilizando inversores y conectando a 0 1 las entradas segn interese, se consigue disear funciones complejas, de un modo ms compacto que con las tradicionales puertas lgicas.

Un estreo de los que tenemos en casa quiz tenga un interruptor para seleccionar msica entre una de cuatro fuentes: un casete de cinta, un disco compacto (CD), un sintonizador de radio, o una entrada auxiliar de audio o video, como un DVD. El interruptor selecciona una de las seales electrnicas de estas cuatro fuentes y las enva al amplificador de potencia y de all a las bocinas. En trminos simples, esto es lo que hace un multiplexor (MUX): selecciona una de Varias seales de entrada y la enva a la salida.

Otra aplicacion de multiplexores (aunque no digitales como los que vemos aqu se ve en las lneas telefnicas. stas usan exactamente este principio. Transmiten varias llamadas telefnicas (seales de audio) a travs de un nico par cableado usando la tcnica de multiplexado y cada seal de audio va nicamente al receptor al que est destinado.

Una aplicacin comn para los MUX es encontrado en las computadoras, en las cuales la memoria dinmica usa las mismas lneas de direccin para el direccionamiento tanto de las filas como de las columnas. Un grupo de multiplexores es usado para primero seleccionar las direcciones de la columna y luego cambiar para seleccionar la de la fila. Este esquema permite que grandes cantidades de memoria sean incorporadas dentro de una computadora mientras se limita a la vez la cantidad de conexiones de cobre requeridas para conectar la memoria al resto del circuito. Por eso es que tambin se les conoce a veces como selectores de datos.

5. Conclusiones y recomendaciones:El multiplexor acta como un interruptor de posiciones mltiples controlado digitalmente, donde el cdigo digital que se aplica a las entradas de seleccin controla que entradas de datos sern trasladadas hacia la salida.Los circuitos multiplexores pueden incluir tambin una lnea de habilitacin indicado con una E por Enable.La recomendacin es conocer las diversas familias de multiplexores que nos permitan ampliar ms multiplexores con ms entradas ya sea en forma de cascada.

6. Bibliografa:

Sistemas digitales - Principios y aplicaciones - Ronald J. Tocci - Quinta edicin Anlisis y diseo de circuitos lgicos digitales - Victor P. Nelson Primera edicin http://educativa.catedu.es/44700165/aula/archivos/repositorio/4750/4923/html/4_multiplexores.html http://www.monografias.com/trabajos14/multiplexor/multiplexor.shtml http://www.ehu.eus/~jtpolagi/express/01.htm