(materia)principios eléctricos y aplicaciones digitales

150
Principios Eléctricos y Electrónicos Ing. Royce Rodríguez Castillo ISC TEC_2 1 Materia: Principios Eléctricos y Aplicaciones Digitales Clave: 6P4 Carrera: ISC-2010 Semestre: 4 Horario de clase: 17-18 horas Horas de la materia: 5 OBJETIVO(S) GENERAL(ES) DEL CURSO (competencias específicas a desarrollar en el curso) • Desarrollar aplicaciones digitales que coadyuven a la solución de problemas computacionales. • Desarrollar habilidades para el diseño de circuitos digitales. • Manejar instrumentos de medición, implementando circuitos. COMPETENCIAS PREVIAS • Conocer la teoría de electricidad y magnetismo. • Conocer la naturaleza y propagación de la luz. • Conocer teoremas y postulados del Algebra de Boole. • Implementar simplificaciones con algebra de Boole. • Realizar conversiones entre los diferentes sistemas numéricos. Caracterización de la asignatura. Principios eléctricos y aplicaciones digitales, es una materia que aporta al perfil del Ingeniero en Sistemas Computacionales conocimientos y habilidades básicas para identificar y comprender las tecnologías de hardware así como proponer, desarrollar y mantener aplicaciones eficientes, diseñar e implementar interfaces hombre- máquina y máquina-máquina para la automatización de sistemas, integrar soluciones computacionales con diferentes tecnologías, plataformas o dispositivos. Para integrarla se ha hecho un análisis de la materia de Física General, identificando los temas de Electrodinámica y electroestática, que tienen una mayor aplicación en el quehacer profesional del ingeniero en sistemas computacionales. Puesto que esta materia dará soporte a otras, más específicamente a la de Arquitectura de computadoras, se inserta en la primera mitad de la trayectoria escolar, antes de cursar aquellas a las que dará soporte. De manera particular, lo trabajado en esta asignatura se aplicará a temas tales como: arquitecturas digitales de cómputo, y en la selección de componentes para el ensamble de equipos de cómputo. Intención didáctica El temario se organiza en cuatro unidades, agrupando los contenidos conceptuales en la primera y segunda unidad, así como el desarrollo de ejemplos prácticos. Para la tercera unidad se aplican los principios de conversión A/D y D/A. Para la cuarta unidad se trabaja con lenguajes HDL. En la primera unidad se aborda el tema de Electrónica analógica, cuyo contenido es necesario para conocer las características eléctricas de los principales elementos pasivos. En la segunda unidad, Electrónica Digital, es necesario enfocarla al álgebra booleana, para aplicarla en el diseño e implementación de circuitos. La tercera unidad se centra en los convertidores “Analógicos y Digitales”, donde el alumno realiza prácticas con estos circuitos integrados. La cuarta unidad, Lenguajes HDL, exige una plataforma de desarrollo para microprocesadores, donde a través de un diseño previo, se proponen nuevos circuitos integrados; en esta parte el alumno necesitará contar con grabadores y probadores de circuitos programables. El enfoque sugerido para la materia requiere que las actividades prácticas promuevan el desarrollo de habilidades en el alumno, para la experimentación, tales como: identificación y manejo de componentes de hardware y su funcionamiento; planteamiento de hipótesis; trabajo en equipo; así mismo, propicien procesos

Upload: royce-rodriguez

Post on 27-Jan-2016

235 views

Category:

Documents


4 download

DESCRIPTION

material de la materia de principios eléctricos y electrónicos del Tecnológico de Chihuahua.

TRANSCRIPT

Page 1: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

Materia: Principios Eléctricos y Aplicaciones Digitales

Clave: 6P4

Carrera: ISC-2010

Semestre: 4

Horario de clase: 17-18 horas

Horas de la materia: 5

OBJETIVO(S) GENERAL(ES) DEL CURSO (competencias específicas a desarrollar en el curso) • Desarrollar aplicaciones digitales que coadyuven a la solución de problemas computacionales. • Desarrollar habilidades para el diseño de circuitos digitales. • Manejar instrumentos de medición, implementando circuitos.

COMPETENCIAS PREVIAS • Conocer la teoría de electricidad y magnetismo. • Conocer la naturaleza y propagación de la luz. • Conocer teoremas y postulados del Algebra de Boole. • Implementar simplificaciones con algebra de Boole. • Realizar conversiones entre los diferentes sistemas numéricos.

Caracterización de la asignatura. Principios eléctricos y aplicaciones digitales, es una materia que aporta al perfil del Ingeniero en Sistemas Computacionales conocimientos y habilidades básicas para identificar y comprender las tecnologías de hardware así como proponer, desarrollar y mantener aplicaciones eficientes, diseñar e implementar interfaces hombre- máquina y máquina-máquina para la automatización de sistemas, integrar soluciones computacionales con diferentes tecnologías, plataformas o dispositivos. Para integrarla se ha hecho un análisis de la materia de Física General, identificando los temas de Electrodinámica y electroestática, que tienen una mayor aplicación en el quehacer profesional del ingeniero en sistemas computacionales. Puesto que esta materia dará soporte a otras, más específicamente a la de Arquitectura de computadoras, se inserta en la primera mitad de la trayectoria escolar, antes de cursar aquellas a las que dará soporte. De manera particular, lo trabajado en esta asignatura se aplicará a temas tales como: arquitecturas digitales de cómputo, y en la selección de componentes para el ensamble de equipos de cómputo. Intención didáctica El temario se organiza en cuatro unidades, agrupando los contenidos conceptuales en la primera y segunda unidad, así como el desarrollo de ejemplos prácticos. Para la tercera unidad se aplican los principios de conversión A/D y D/A. Para la cuarta unidad se trabaja con lenguajes HDL. En la primera unidad se aborda el tema de Electrónica analógica, cuyo contenido es necesario para conocer las características eléctricas de los principales elementos pasivos. En la segunda unidad, Electrónica Digital, es necesario enfocarla al álgebra booleana, para aplicarla en el diseño e implementación de circuitos. La tercera unidad se centra en los convertidores “Analógicos y Digitales”, donde el alumno realiza prácticas con estos circuitos integrados. La cuarta unidad, Lenguajes HDL, exige una plataforma de desarrollo para microprocesadores, donde a través de un diseño previo, se proponen nuevos circuitos integrados; en esta parte el alumno necesitará contar con grabadores y probadores de circuitos programables. El enfoque sugerido para la materia requiere que las actividades prácticas promuevan el desarrollo de habilidades en el alumno, para la experimentación, tales como: identificación y manejo de componentes de hardware y su funcionamiento; planteamiento de hipótesis; trabajo en equipo; así mismo, propicien procesos

Page 2: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

intelectuales como inducción-deducción y análisis-síntesis, con la intención de generar una actividad intelectual de análisis y aplicación interactiva. En las actividades prácticas sugeridas, es conveniente que el profesor busque y sugiera además de guiar a sus alumnos para que ellos hagan la elección de los componentes a utilizar y controlar. Para que aprendan a planificar, que no planifique el profesor todo por ellos, sino involucrarlos en el proceso de planeación y desarrollo de actividades de aprendizaje. Es importante ofrecer escenarios de trabajo y de problemática distintos, ya sean de construcción real o virtual. En las actividades de aprendizaje sugeridas, generalmente se propone la formalización de los conceptos a partir de experiencias concretas; se busca que el alumno tenga el primer contacto con el concepto de manera concreta y sea a través de la observación, la reflexión y la discusión que se dé la formalización; la resolución de problemas se hará después de este proceso. En el transcurso de las actividades programadas, es muy importante que el estudiante aprenda a valorar las actividades que lleva a cabo y entienda que está construyendo su hacer futuro y en consecuencia actúe de una manera profesional; de igual manera, aprecie la importancia del conocimiento y los hábitos de trabajo; desarrolle la precisión y la curiosidad, la puntualidad, el entusiasmo, el interés, la tenacidad, la flexibilidad y la autonomía. TEMARIO:

UNIDAD 1 Electrónica analógica

1.1. Corriente alterna y corriente directa

1.1.1. Características

LA CORRIENTE ALTERNA (C.A.)

Además de la existencia de fuentes de FEM de corriente directa o continua (C.D.) (como la que suministran las pilas o las baterías, cuya tensión o voltaje mantiene siempre su polaridad fija), se genera también otro tipo de corriente denominada alterna (C.A.), que se diferencia de la directa por el cambio constante de polaridad que efectúa por cada ciclo de tiempo.

Una pila o batería constituye una fuente de suministro de corriente directa, porque su polaridad se mantiene siempre fija.

La característica principal de una corriente alterna es que durante un instante de tiempo un polo es negativo y el otro positivo, mientras que en el instante siguiente las polaridades se invierten tantas veces como ciclos por segundo o hertz posea esa corriente. No obstante, aunque se produzca un constante cambio de polaridad, la

Page 3: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

corriente siempre fluirá del polo negativo al positivo, tal como ocurre en las fuentes de FEM que suministran corriente directa. Veamos un ejemplo práctico que ayudará a comprender mejor el concepto de corriente alterna:

Corriente alterna pulsante de un ciclo por segundo o hertz (Hz) .

Si hacemos que la pila del ejemplo anterior gire a una determinada velocidad, se producirá un cambio constante de polaridad en los bornes donde hacen contacto los dos polos de dicha pila. Esta acción hará que se genere una corriente alterna tipo pulsante, cuya frecuencia dependerá de la cantidad de veces que se haga girar la manivela a la que está sujeta la pila para completar una o varias vueltas completas durante un segundo. En este caso si hacemos una representación gráfica utilizando un eje de coordenadas para la tensión o voltaje y otro eje para el tiempo en segundos, se obtendrá una corriente alterna de forma rectangular o pulsante, que parte primero de cero volt, se eleva a 1,5 volt, pasa por “0” volt, desciende para volver a 1,5 volt y comienza a subir de nuevo para completar un ciclo al pasar otra vez por cero volt. Si la velocidad a la que hacemos girar la pila es de una vuelta completa cada segundo, la frecuencia de la corriente alterna que se obtiene será de un ciclo por segundo o hertz (1 Hz). Si aumentamos ahora la velocidad de giro a 5 vueltas por segundo, la frecuencia será de 5 ciclos por segundo o hertz (5 Hz). Mientras más rápido hagamos girar la manivela a la que está sujeta la pila, mayor será la frecuencia de la corriente alterna pulsante que se obtiene. Seguramente sabrás que la corriente eléctrica que llega a nuestras casas para hacer funcionar las luces, los equipos electrodomésticos, electrónicos, etc. es, precisamente, alterna, pero en lugar de pulsante es del tipo sinusoidal o senoidal. En Europa la corriente alterna que llega a los hogares es de 220 volt y tiene una frecuencia de 50 Hz, mientras que en la mayoría de los países de América la tensión de la corriente es de 110 ó 120 volt, con una frecuencia de 60 Hz. La forma más común de generar corriente alterna es empleando grandes generadores o alternadores ubicados en plantas termoeléctricas, hidroeléctricas o centrales atómicas. De acuerdo con su forma gráfica, la corriente alterna puede ser:

Rectangular o pulsante

Triangular

Diente de sierra

Sinusoidal o senoidal

Page 4: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

(A) Onda rectangular o pulsante. (B) Onda triangular. (C) Onda diente de sierra. (D) Onda sinusoidal o senoidal.

De todas estas formas, la onda más común es la sinusoidal o senoidal. Cualquier corriente alterna puede fluir a través de diferentes dispositivos eléctricos, como pueden ser resistencias, bobinas, condensadores, etc., sin sufrir deformación. La onda con la que se representa gráficamente la corriente sinusoidal recibe ese nombre porque su forma se obtiene a partir de la función matemática de seno. En la siguiente figura se puede ver la representación gráfica de una onda sinusoidal y las diferentes partes que la componen:

De donde: A = Amplitud de onda P = Pico o cresta N = Nodo o valor cero V = Valle o vientre T = Período Amplitud de onda: máximo valor que toma una corriente eléctrica. Se llama también valor de pico o valor de cresta. Pico o cresta: punto donde la sinusoide alcanza su máximo valor. Nodo o cero: punto donde la sinusoide toma valor “0”. Valle o vientre: punto donde la sinusoide alcanza su mínimo valor. Período: tiempo en segundos durante el cual se repite el valor de la corriente. Es el intervalo que separa dos puntos sucesivos de un mismo valor en la sinusoide. El período es lo inverso de la frecuencia y,

Page 5: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

matemáticamente, se representa por medio de la siguiente fórmula:

T = 1 / F

Como ya se vio anteriormente, la frecuencia no es más que la cantidad de ciclos por segundo o hertz (Hz), que alcanza la corriente alterna. Es el inverso del período y, matemáticamente, se representa de la manera siguiente:

F = 1 / T

VENTAJAS DE LA CORRIENTE ALTERNA Entre algunas de las ventajas de la corriente alterna, comparada con la corriente directa o continua, tenemos las siguientes:

Permite aumentar o disminuir el voltaje o tensión por medio de transformadores. Se transporta a grandes distancias con poca de pérdida de energía. Es posible convertirla en corriente directa con facilidad. Al incrementar su frecuencia por medios electrónicos en miles o millones de ciclos por segundo

(frecuencias de radio) es posible transmitir voz, imagen, sonido y órdenes de control a grandes distancias, de forma inalámbrica.

Los motores y generadores de corriente alterna son estructuralmente más sencillos y fáciles de mantener que los de corriente directa.

LA CORRIENTE DIRECTA (C.D.)

La corriente directa (CD) o corriente continua (CC) es aquella cuyas cargas eléctricas o electrones fluyen siempre en el mismo sentido en un circuito eléctrico cerrado, moviéndose del polo negativo hacia el polo positivo de una fuente de fuerza electromotriz (FEM), tal como ocurre en las baterías, las dinamos o en cualquier otra fuente generadora de ese tipo de corriente eléctrica.

Fuentes suministradoras de corriente directa o continua. A la izquierda, una batería de las comúnmente utilizada en los coches y todo tipo de vehículo motorizado. A la derecha, pilas de amplio uso, lo mismo en linternas que en aparatos y dispositivos eléctricos y electrónicos.

Es importante conocer que ni las baterías, ni los generadores, ni ningún otro dispositivo similar crea cargas eléctricas pues, de hecho, todos los elementos conocidos en la naturaleza las contienen, pero para establecer el flujo en forma de corriente eléctrica es necesario ponerlas en movimiento.

Page 6: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

El movimiento de las cargas eléctricas se asemeja al de las moléculas de un líquido, cuando al ser impulsadas por una bomba circulan a través de la tubería de un circuito hidráulico cerrado. Las cargas eléctricas se pueden comparar con el líquido contenido en la tubería de una instalación hidráulica. Si la función de una bomba hidráulica es poner en movimiento el líquido contenido en una tubería, la función de la tensión o voltaje que proporciona la fuente de fuerza electromotriz (FEM) es, precisamente, bombear o poner en movimiento las cargas contenidas en el cable conductor del circuito eléctrico. Los elementos o materiales que mejor permiten el flujo de cargas eléctricas son los metales y reciben el nombre de “conductores”.

Como se habrá podido comprender, sin una tensión o voltaje ejerciendo presión sobre las cargas eléctricas no puede haber flujo de corriente eléctrica. Por esa íntima relación que existe entre el voltaje y la corriente generalmente en los gráficos de corriente directa, lo que se representa por medio de los ejes de coordenadas es el valor de la tensión o voltaje que suministra la fuente de FEM.

Circuito eléctrico compuesto por una pila o fuente de suministro de FEM; una bombilla, carga o<.consumidor conectada al circuito y los correspondientes conductores o cables por donde fluye la.<corriente eléctrica. A la derecha aparece la representación gráfica del suministro de 1,5 volt de la pila<(eje. de coordenadas "y") y el tiempo que permanece la pila suministrando corriente a la bombilla.<(representado por el eje de coordenadas "x").

La coordenada horizontal “x” representa el tiempo que la corriente se mantiene fluyendo por circuito eléctrico y la coordenada vertical “y” corresponde al valor de la tensión o voltaje que suministra la fuente de fem (en este caso una pila) y se aplica circuito. La representación gráfica del voltaje estará dada entonces por una línea recta horizontal continua, siempre que el valor de la tensión o voltaje se mantenga constante durante todo el tiempo.

Page 7: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

Normalmente cuando una pila se encuentra completamente cargada suministra una FEM, tensión o voltaje de 1,5 volt. Si representamos gráficamente el valor de esa tensión o voltaje durante el tiempo que la corriente se mantiene fluyendo por el circuito cerrado, obtenemos una línea recta.

Si después hacemos girar la pila invirtiendo su posición y representamos de nuevo el valor de la tensión o voltaje, el resultado sería el mismo, porque en ambos casos la corriente que suministra la fuente de FEM sigue siendo directa o continua. Lo único que ha cambiado es el sentido del flujo de corriente en el circuito, provocado por el cambio de posición de la pila, aunque en ambos casos el sentido de circulación de la corriente seguirá siendo siempre del polo negativo al positivo.

1.1.2. Generación de corriente en CA y CD

Los Motores y generadores eléctricos, son un grupo de aparatos que se utilizan para convertir la energía mecánica en eléctrica, o a la inversa, con medios electromagnéticos. A una máquina que convierte la energía mecánica en eléctrica se le denomina generador, alternador o dínamo, y a una máquina que convierte la energía eléctrica en mecánica se le denomina motor.

Dos principios físicos relacionados entre sí sirven de base al funcionamiento de los generadores y de los motores. El primero es el principio de la inducción descubierto por el científico e inventor británico Michael Faraday en 1831. Si un conductor se mueve a través de un campo magnético, o si está situado en las proximidades de un circuito de conducción fijo cuya intensidad puede variar, se establece o se induce una corriente en el conductor. El principio opuesto a éste fue observado en 1820 por el físico francés André Marie Ampère. Si una corriente pasaba a través de un conductor dentro de un campo magnético, éste ejercía una fuerza mecánica sobre el conductor.

El campo magnético de un imán permanente es lo suficientemente fuerte como para hacer funcionar una sola dinamo pequeña o motor. Por ello, los electroimanes se emplean en máquinas grandes. Tanto los motores como los generadores tienen dos unidades básicas: el campo magnético, que es el electroimán con sus bobinas, y la armadura, que es la estructura que sostiene los conductores que cortan el campo magnético y transporta la corriente inducida en un generador, o la corriente de excitación en el caso del motor. La armadura es por lo general un núcleo de hierro dulce laminado, alrededor del cual se enrollan en bobinas los cables conductores.

GENERADOR DE CORRIENTE ALTERNA

El funcionamiento del generador de corriente alterna, se basa en el principio general de inducción de voltaje en

un conductor en movimiento cuando atraviesa un campo magnético.

Este generador consta de dos partes fundamentales, el inductor, que es el que crea el campo magnético y el inducido que es el conductor el cual es atravesado por las líneas de fuerza de dicho campo.

Page 8: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

Así, en el generador mostrado en la Figura 1, el inductor está constituido por el rotor R, dotado de cuatro piezas magnéticas, las que para simplificar son imanes permanentes, cuya polaridad se indica, y el inducido o estator con bobinas de alambre arrolladas en las zapatas polares .

Las cuatro bobinas a-b, c-d, e-f y g-h, arrolladas sobre piezas de una aleación ferromagnética(zapatas polares)

se magnetizan bajo la acción de los imanes del inductor. Dado que el inductor está girando, el campo magnético que actúa sobre las cuatro zapatas cambia de sentido cuando el rotor gira 90º (se cambia de polo N a polo S), y su intensidad pasa de un máximo, cuando están las piezas enfrentadas como en la figura, a un

mínimo cuando los polos N y S están equidistantes de las piezas de hierro.

Son estas variaciones de sentido y de intensidad del campo magnético las que inducirán en las cuatro bobinas una diferencia de potencial (voltaje) que cambia de valor y de polaridad siguiendo el ritmo del campo.

La frecuencia de la corriente alterna que aparece entre los terminales A-B se obtiene multiplicando el número de

vueltas por segundo del inductor por el número de pares de polos del inducido ( en nuestro caso 2), y el voltaje generado dependerá de la fuerza de los imanes (intensidad del campo), la cantidad de vueltas de almbre de las bobinas y de la velocidad de rotación.

Page 9: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

La fem V varía sinusoidalmente con el tiempo, como se muestra en la figura. La fem alcanza su valor máximo

en valor absoluto cuando t=/2 ó 3/2, cuando el flujo es mínimo (el campo magnético está en el plano de

la espira), y es nula cuando t=0 ó , cuando el flujo es máximo (el campo magnético es perpendicular al plano

de la espira).

Page 10: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

Sentido de la corriente inducida

Aplicando la ley de Lenz podemos determinar el sentido de la corriente inducida. El sentido viene determinado

por el movimiento de portadores de cargas positivos representados por puntos rojos.

Vídeos de YouTube https://www.youtube.com/watch?v=rjH0bSf5uMU

GENERADORES DE CORRIENTE CONTINUA

Los generadores de corriente continua son máquinas que producen tensión su funcionamiento se reduce siempre al principio de la bobina giratorio dentro de un campo magnético. Si una armadura gira entre dos polos magnéticos fijos, la corriente en la armadura circula en un sentido durante la mitad de cada revolución, y en el otro sentido durante la otra mitad. Para producir un flujo constante de corriente en un sentido, o corriente continua, en un aparato determinado, es necesario disponer de un medio para invertir el flujo de corriente fuera del generador una vez durante cada revolución. En las máquinas antiguas esta inversión se llevaba a cabo mediante un conmutador, un anillo de metal partido montado sobre el eje de una armadura. Las dos mitades del anillo se aislaban entre sí y servían como bornes de la bobina. Las escobillas fijas de metal o de carbón se mantenían en contacto con el conmutador, que al girar conectaba eléctricamente la bobina a los cables externos. Cuando la armadura giraba, cada escobilla estaba en contacto de forma alternativa con las mitades del conmutador, cambiando la posición en el momento en el que la corriente invertía su sentido dentro de la bobina de la armadura. Así se producía un flujo de corriente de un sentido en el circuito exterior al que el generador estaba conectado. Los generadores de corriente continua funcionan normalmente a voltajes bastante bajos para evitar las chispas que se producen entre las escobillas y el conmutador a voltajes altos. El potencial más alto desarrollado para este tipo de generadores suele ser de 1.500 voltios. En algunas máquinas más modernas esta inversión se realiza usando aparatos de potencia electrónica, como por ejemplo rectificadores de diodo.

Los generadores modernos de corriente continua utilizan armaduras de tambor, que suelen estar formadas por un gran número de bobinas agrupadas en hendiduras longitudinales dentro del núcleo de la armadura y conectadas a los segmentos adecuados de un conmutador múltiple. Si una armadura tiene un solo circuito de cable, la corriente que se produce aumentará y disminuirá dependiendo de la parte del campo magnético a través del cual se esté moviendo el circuito. Un conmutador de varios segmentos usado con una armadura de tambor conecta siempre el circuito externo a uno de cable que se mueve a través de un área de alta intensidad del campo, y como resultado la corriente que suministran las bobinas de la armadura es prácticamente

constante. Los campos de los generadores modernos se equipan con cuatro o más polos electromagnéticos que aumentan el tamaño y la resistencia del campo magnético. En algunos casos, se añaden interpolos más pequeños para compensar las distorsiones que causa el efecto magnético de la armadura en el flujo eléctrico del campo.

El campo inductor de un generador se puede obtener mediante un imán permanente (magneto) o por medio de un electroimán (dinamo). En este último caso, el electroimán se excita por una corriente independiente o por autoexcitación, es decir, la propia corriente producida en la dinamo sirve para crear el campo magnético en las

Page 11: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

bobinas del inductor. Existen tres tipos de dinamo según sea la forma en que estén acoplados el inductor y el inducido: en serie, en derivación y en combinación.

Los generadores de corriente continua se clasifican según el método que usan para proporcionar corriente de campo que excite los imanes del mismo. Un generador de excitado en serie tiene su campo en serie respecto a la armadura. Un generador de excitado en derivación, tiene su campo conectado en paralelo a la armadura. Un generador de excitado combinado tiene parte de sus campos conectados en serie y parte en paralelo. Los dos últimos tipos de generadores tienen la ventaja de suministrar un voltaje relativamente constante, bajo cargas eléctricas variables. El de excitado en serie se usa sobre todo para suministrar una corriente constante a voltaje variable. Un magneto es un generador pequeño de corriente continua con un campo magnético permanente.

Una dinamo es una máquina eléctrica que produce energía eléctrica en forma de corriente continua aprovechando el fenómeno de inducción electromagnética. Para ello está dotada de un armazón fijo (estator) encargado de crear el campo magnético en cuyo interior gira un cilindro (rotor) donde se crearán las fuerzas electromotrices inducidas.

Estator

Consta de un electroimán encargado de crear el campo magnético fijo conocido por el nombre de inductor.

Rotor

Es un cilindro donde se enrollan bobinas de cobre, que se hace girar a una cierta velocidad cortando el flujo

inductor y que se conoce como inducido.

VIDEO ILUSTRATIVO https://www.youtube.com/watch?feature=player_embedded&v=db8vun1_AA4

Page 12: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

1.2. Dispositivos pasivos

1.2.1. Características Son aquellos que no necesitan una fuente de energía para su correcto funcionamiento. No tienen la capacidad de controlar la corriente en un circuito. Los componentes pasivos se dividen en:

Componentes pasivos lineales:

Componente Función más común

Condensador Almacenamiento de energía, filtrado, adaptación impedancia.

Inductor o bobina Almacenar o atenuar el cambio de energía debido a su poder de autoinducción.

Resistor o resistencia División de intensidad o tensión, limitación de intensidad.

Page 13: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

Componentes electromecánicos: A este grupo pertenecen los interruptores, fusibles y conectores.

Page 14: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

Page 15: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

5

1.2.2. Técnicas de solución en circuitos RLC En electrodinámica un circuito RLC es un circuito lineal que contiene una resistencia eléctrica, una bobina (inductancia) y un condensador (capacitancia). Existen dos tipos de circuitos RLC, en serie o en paralelo, según la interconexión de los tres tipos de componentes. El comportamiento de un circuito RLC se describen generalmente por una ecuación diferencial de segundo orden (en donde los circuitos RC o RL se comportan como circuitos de primero orden). Con ayuda de un generador de señales, es posible inyectar en el circuito oscilaciones y observar en algunos casos el fenómeno de resonancia, caracterizado por un aumento de la corriente (ya que la señal de entrada elegida corresponde a la pulsación propia del circuito, calculable a partir de la ecuación diferencial que lo rige).

Circuito RLC en serie Si un circuito RLC en serie es sometido a un escalón de tensión , la ley de las mallas impone la relación:

Introduciendo la relación característica de un condensador:

Se obtiene la ecuación diferencial de segundo orden:

Donde:

E es la fuerza electromotriz de un generador, en Voltios (V);

uC es la tensión en los bornes de un condensador, en Voltios (V);

L es la inductancia de la bobina, en Henrios (H);

i es la intensidad de corriente eléctrica en el circuito, en Amperios (A);

q es la carga eléctrica del condensador, en Coulombs (C);

C es la capacidad eléctrica del condensador, en Faradios (F);

Rt es la resistencia total del circuito, en Ohmios (Ω);

t es el tiempo en segundos (s)

Page 16: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

6

En el caso de un régimen sin pérdidas, esto es para , se obtiene una solución de la forma:

Donde:

T0 el periodo de oscilación, en segundos;

φ la fase en el origen (lo más habitual es elegirla para que φ = 0) Lo que resulta:

Donde es la frecuencia de resonancia, en hercios (Hz). https://www.youtube.com/watch?v=zwivFs1twyA

Circuito RLC en paralelo

ya que

Atención, la rama C es un corto-circuito:de esta manera no se pueden unir las ramas A y B directamente a los bornes de un generador E, se les debe adjuntar una resistencia. Las dos condiciones iniciales son:

conserva su valor antes de la puesta en tensión (porque la inductancia se opone a la variación de corriente).

conserva su valor antes de la puesta en tensión .

1.2.2. Técnicas de solución en circuitos RLC

https://www.youtube.com/watch?v=88k_zIM5kEU

Page 17: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

7

1.2.3. Aplicaciones

Los componentes pasivos básicos, que encontramos en todo circuito eléctrico o electrónico, los cuales

podemos encontrar a lo largo de un par de conductores por los cuales circula una corriente eléctrica, estos

parámetros los encontramos en forma distribuida, los cuales son llamados: Parámetro distribuido de resistencia,

Parámetro distribuido de capacitancia y Parámetro distribuido de inductancia.

Estos parámetros vistos así, son para un estudio más avanzado, el cual no es el objetivo de este curso. Estos

parámetros distribuidos también lo podemos encontrar en forma de parámetros concentrados, representados en

unos componentes, llamados la resistencia, el condensador y la bobina, el cual utilizamos en los

diferentes circuitos. Veamos que son cada unos de ellos y sus principales características.

LA RESISTENCIA Estos componentes lo encontramos en casi todos los circuitos y es el encargado de limitar las corrientes que

circulan por este. Su identificación la podemos hacer de acuerdo a un código.

Interpretación Del Código De Colores En Las Resistencias

Las resistencias llevan grabadas sobre su cuerpo unas bandas de color que nos permiten identificar

el valor óhmico que éstas poseen. Esto es cierto para resistencias de potencia pequeña (menor de 2 W.), ya

que las de potencia mayor generalmente llevan su valor impreso con números sobre su cuerpo, tal como hemos

visto antes.

En la resistencia de la izquierda vemos el método de codificación más difundido. En el cuerpo de la resistencia

hay 4 anillos de color que, considerándolos a partir de un extremo y en dirección al centro, indican el valor

óhmico de este componente.

El número que corresponde al primer color indica la primera cifra, el segundo color la segunda cifra y el tercer

color indica el número de ceros que siguen a la cifra obtenida, con lo que se tiene el valor efectivo de la

resistencia. El cuarto anillo, o su ausencia, indican la tolerancia.

Podemos ver que la resistencia de la izquierda tiene los colores amarillo- violeta-naranja-oro (hemos

intentado que los colores queden representados lo mejor posible en el dibujo), de forma que según la tabla de

abajo podríamos decir que tiene un valor de: 4-7-3ceros, con una tolerancia del 5%, o sea, 47000 ? ó 47 K?. La

tolerancia indica que el valor real estará entre 44650 ? y 49350 ? (47 K?±5%).

La resistencia de la derecha, por su parte, tiene una banda más de color y es que se trata de una resistencia de

precisión. Esto además es corroborado por el color de la banda de tolerancia, que al ser de color rojo indica que

es una resistencia del 2%. Éstas tienen tres cifras significativas (al contrario que las anteriores, que tenían 2) y

los colores son marrón-verde- amarillo-naranja, de forma que según la tabla de abajo podríamos decir que

tiene un valor de: 1-5-4-4ceros, con una tolerancia del 2%, o sea, 1540000 ? ó 1540 K? ó 1.54 M?.

La tolerancia indica que el valor real estará entre 1509.2 K? y 1570.8 K?

(1.54 M?±2%).

Page 18: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

8

Por último, comentar que una precisión del 2% se considera como muy buena, aunque en la mayoría de los

circuitos usaremos resistencias del 5%, que son las más corrientes.

Código De Colores En Las Resistencias

Nota: Estos colores se han establecido internacionalmente, aunque algunos de ellos en ocasiones pueden

llevar a una confusión a personas con dificultad de distinguir la zona de colores rojo-naranja-marrón-verde. En

tales casos, quizá tengan que echar mano en algún momento de un polímetro para saber con certeza el valor

de alguna resistencia cuyos colores no pueden distinguir claramente. También es cierto que en resistencias que

han tenido un "calentón" o que son antiguas, a veces los colores pueden haber quedado alterados, en cuyo

caso el polímetro nos dará la verdad.

Otro caso de confusión puede presentarse cuando por error leemos las bandas de color al revés. Estas

resistencias de aquí abajo son las mismas que antes, pero dadas la vuelta.

En la primera, si leemos de izquierda a derecha, ahora vemos oro-naranja- violeta-amarillo.

El oro no es un color usado para las cifras significativas, así que algo va mal. Además el amarillo no es un color

que represente tolerancias. En un caso extremo, la combinación naranja-violeta-amarillo (errónea por otro

lado porque la banda de tolerancia no va a la izquierda de las otras) nos daría el valor de 370 K?, que no es un

valor normalizado.

En la segunda, ahora vemos rojo-naranja-amarillo-verde-marrón. La combinación nos daría el

valor 234000000 ? = 234 M?, que es un valor desorbitado (generalmente no suele haber resistencias de más de

22 M?), además de no ser un valor normalizado. Eso sí, la resistencia tendría una tolerancia del 1% (marrón),

que no tiene sentido para un valor tan alto de resistencia.

Valores Normalizados de Resistencias

Vamos a mostrar ahora una tabla con los valores normalizados de resistencias, que ayudará a encajarlas

según valores establecidos internacionalmente.

Page 19: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

9

Nota: estas resistencias las encontramos en los múltiplos y submúltiplos de estos valores.

Los resistores se pueden clasificar también en función de su potencia. Esto hay que tenerlo en cuenta a la hora

de montarlos en un circuito, puesto que la misión de estos componentes es la de disipar energía eléctrica en

forma de calor. Por lo tanto, no es suficiente con definir su valor en ohmios, también se debe conocer su

potencia. Las mas usuales son: 1/8 w, ¼ w, 1/2 w, 1w, 2w, 4w, 10w y 20w.

Los Resistores se clasifican en: Fijos, variables y no lineales ( NTC, PTC, etc.)

Termistores

Son dispositivos cuya resistencia varía en función de la temperatura. Existen dos tipos de Termistores:

Termistores NTC (Coeficiente de temperatura negativo): Son componentes en los cuales disminuye su

resistencia al aumentar la temperatura.

+ TEMPERATURA » - RESISTENCIA

- TEMPERATURA » + RESISTENCIA

También, en su aspecto físico, pueden presentar franjas de colores. En este caso, para conocer su valor, se

emplea el código de colores de resistencias, observando los colores de abajo hacia arriba:

Las franjas 1ª, 2ª y 3ª expresan el valor en ohmios a 25º C y la franja 4ª indica su tolerancia en %.

- Termistores PTC.- (Coeficiente de temperatura positivo): Son componentes en los cuales aumenta su

resistencia al aumentar la temperatura.

+ TEMPERATURA » + RESISTENCIA

- TEMPERATURA » - RESISTENCIA

Page 20: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

0

Aplicaciones de los Termistores:

- Termostatos de estufas, aire acondicionado, etc.

- Detectores para alarmas contra incendios.

- Compensación del valor óhmico en circuitos al variar la temperatura.

FOTO-RESISTORES O LDR (Resistencia Dependiente de la Luz):

Estos dispositivos electrónicos son capaces de variar su resistencia en función de la luz que incide sobre ellos.

Están compuestos por Sulfuro de Cadmio, compuesto químico que posee la propiedad de aumentar la

circulación de electrones a medida que aumenta la luz.

+ LUZ » - RESISTENCIA

- LUZ » + RESISTENCIA

Aplicaciones de la LDR:

- Como detector de presencia, cuando se interrumpe la luz que incide sobre el.

- Como interruptor crepuscular, encendiendo una lámpara cuando se hace de noche.

VARISTORES O VDR.- (Resistencia Dependiente del voltaje)

Son componentes cuya resistencia aumenta cuando disminuye el voltaje aplicado en sus extremos.

- VOLTAJE » + RESISTENCIA

+ VOLTAJE » - RESISTENCIA

Aplicaciones de la VDR:

- Compensación del valor óhmico cuando varía la tensión en un circuito.

- Estabilizadores de tensión.

Resistencias Variables

Existen básicamente dos tipos de resistencias variables conocidas: Los Potenciómetros y los Reóstatos, los

cuales se diferencias entre si, entre otras cosas, por la forma en que se conectan. En el caso de los

potenciómetros, estos se conectan en paralelo al circuito y se comporta como un divisor de

tensión. Ver la figura.

Page 21: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

1

En el caso del reóstato este va conectado en serie con el circuito y se debe tener cuidado de que su valor (en

ohmios) y su la potencia que puede aguantar (en watts) sea el adecuado para soportar la corriente (I en

amperios) que por el va a circular por él.

Código Japonés De Resistencias

Existe otro código muy utilizado en las resistencias y condensadores, sobre todo en los componentes

de tecnología superficial, SMD los cuales se caracterizan por su reducido tamaño.

Luego 104 = 100000 ?

Nota: durante el soldeo de este mini componente se debe tener extrema precaución cuando se aplique el calor

con el cautín, ya que se puede desprender los bornes de contactos, se recomienda aplicar un removedor

(tinner) sobre el componente antes de desoldarlo.

Referentes Bibliográficos:

Martín Eliécer. Durán Instructor de Electrónica Sena Metalmecánico Medellín, tomado de :

www.iespana.es/electronred

EL CONDENSADOR O CAPACITOR Un condensador es un dispositivo almacenador de energía en la forma de un campo eléctrico. El capacitor

consiste de dos placas, que están separadas por un material aislante, que puede ser aire u otro material

"dieléctrico", que no permite que éstas (las placas) se toquen. Se parece a la batería que todos conocemos,

pero el condensador solamente almacena energía, pues no es capaz de crearla.

Los condensadores se miden en Faradios (F.), pudiendo encontrarse condensadores que se miden en

Microfaradios (uF), Pico faradios (pF) y Nanofaradios (nF). A continuación se pueden ver algunas equivalencias

de unidades.

El primer capacitor es la botella de Leyden, el cual es un capacitor simple en el que las dos placas conductoras

son finos revestimientos metálicos dentro y fuera del cristal de la botella, que a su vez es el dieléctrico. La

magnitud que caracteriza a un capacitor es su capacidad, cantidad de carga eléctrica que puede almacenar a

una diferencia de potencial determinado.

La botella de Leyden, uno de los Capacitores más simples, almacena una carga eléctrica que puede liberarse, o

descargarse, juntando sus terminales, mediante una varilla conductora. La primera botella de Leyden se fabricó

alrededor de 1745, y todavía se utiliza en experimentos de laboratorio.

Page 22: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

2

Para un capacitor se define su capacidad como la razón de la carga que posee uno de los conductores a la

diferencia de potencial entre ambos, es decir, la capacidad es proporcional al la carga e inversamente

proporcional a la diferencia de potencial: C = Q / V, medida en Farad (F).

La diferencia de potencial entre estas placas es igual a: V = E * d ya que depende de la intensidad de campo

eléctrico y la distancia que separa las placas. También: V =q / e * d, siendo q carga por unidad de superficie y d

la diferencia entre ellas. Para un capacitor de placas paralelas de superficie S por placa, el valor de la carga en

cada una de ellas es q * S y la capacidad del dispositivo:

C = q * S / (q * d / e ) = e * S / d

Siendo d la separación entre las placas.

La energía acumulada en un capacitor será igual al trabajo realizado para transportar las cargas de una placa a

la otra venciendo la diferencia de potencial existente ellas:

D W = V * D q = (q / C) * D q

La energía electrostática almacenada en el Capacitor será igual a la suma de todos estos trabajos desde el

momento en que la carga es igual a cero hasta llegar a un valor dado de la misma, al que llamaremos Q.

W = V * dq = ( 1 / C) * ( q * dq) = 1 / 2 (Q2 / C)

Si ponemos la carga en función de la tensión y capacidad, la expresión de la energía almacenada en un

capacitor será: W = 1/2 * C * V2 medida en unidades de trabajo.

Dependiendo de superficie o área de las placas su fórmula de capacidad es

C = e * A / 4p d, donde e es la constante dieléctrica.

Condensadores

Modelos de Capacitores

Nuestro aparato consiste de dos placas metálicas paralelas. Acabaremos por colocar carga eléctrica sobre

estas placas. Vamos a suponer que la carga sobre una placa es de la misma magnitud a la de la otra placa pero

de signo opuesto: tenemos una situación que hemos estudiado previamente. Sabemos que si las placas fueran

infinitas, el campo eléctrico en la región intermedia sería uniforme, y sería cero fuera de esa región. Sin

embargo las placas de nuestro aparato no son infinitas, así que ¿cómo es el campo eléctrico en este caso?

Hemos visto que resulta conveniente aproximar la situación real por una situación idealizada, y que, en

ocasiones, la aproximación es prácticamente indistinguible de la solución exacta, así que ajustaremos las

condiciones reales

de nuestro aparato para que la aproximación sea buena. Nos interesan las placas infinitas porque el campo que

producen es uniforme. Una placa de dimensiones finitas parece infinita si la vemos desde un punto localizado

muy cerca de ella. Así que para que el campo eléctrico producido por las placas sea uniforme, como el

Page 23: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

3

producido por placas infinitas, debemos limitarnos a regiones muy cercanas a la placa. ¿Qué tan pequeña es

esta región? Una manera de medirla es considerar que la distancia entre las placas (que determina la región

donde el campo eléctrico es uniforme) sea mucho más pequeña que la propia placa.

Lo que tenemos que hacer para construir nuestro aparato es conseguir placas grandes (por ejemplo, placas

cuadradas de lado a) y separarlas una distancia pequeña, d. El campo eléctrico entre las placas será uniforme

si a>d.

Sólo nos falta describir los cables con los que conectamos las placas a la fuente electromotriz. Vamos a

suponer que estos cables son conductores ideales, es decir, las cargas viajan a través de ellos sin perder

energía. Como no se realiza trabajo, el potencial es el mismo en todos los puntos del alambre. Lo que

acabamos de construir es un circuito eléctrico con un capacitor ideal.

Se muestra una representación esquemática del circuito. Imaginemos ahora que acabamos de conectar el

circuito ¿qué pasará? Como sabemos, en los metales los electrones son móviles. Así que cuando cerramos el

circuito, la fuente electromotriz empieza a funcionar y toma electrones de una de las placas, digamos la placa

superior, los transporta (sin cambio en el potencial) por los alambres y los deposita en la placa opuesta.

Conforme este proceso se lleva a cabo, la carga negativa se va incrementando en la placa inferior;

correspondientemente se incrementa la carga positiva en la placa superior (debida a la carencia de electrones).

Pensemos en este pro ceso de transporte de carga como si ocurriera electrón por electrón. Al inicio del proceso

no hay carga en ninguna de las dos placas.

La fuente electromotriz transporta al primer electrón a lo largo de los alambres sin que modifique su potencial. El

segundo electrón, sin embargo, tiene que vencer el campo eléctrico generado por el primero, es decir,

para poder ser acomodado en la placa inferior tiene que vencer la repulsión electrostática de la carga que ya

está ahí. La fuente electromotriz ahora sí invertirá energía para aumentar el potencial del segundo electrón.

Esto se repite carga tras carga. Nótese que cada vez es mayor la energía necesaria para trasladar un electrón

de la placa superior a la placa inferior. ¿Cuándo se detendrá este proceso?

El final de este proceso está determinado por la capacidad de la fuente electromotriz.

Dijimos que esta puede aumentar el potencial de una carga en un cierto valor (las pilas vienen marcadas con

esta magnitud: 1.5 volts, 6 volts, 12 volts, etcétera). Cuando el potencial entre las dos placas iguale la

capacidad de la fuente electromotriz, se detendrá el proceso de transporte de carga. Podemos establecer esta

condición matemáticamente: digamos que la magnitud de la fuente electromotriz es: E La condición

de equilibrio recién descrita es que iguale a la diferencia de potencial Entre Las Dos Placas, Es Decir:

Qué Aplicaciones Tiene un Condensador?

Para aplicaciones de descarga rápida, como un Flash, en donde el condensador se tiene que descargar a

gran velocidad para generar la luz necesaria (algo que hace muy fácilmente cuando se le conecta en paralelo

un medio de baja resistencia).

Como Filtro, Un condensador de gran valor (1,000 uF - 12,000 uF) se utiliza para eliminar el "rizado" que se

genera en el proceso de conversión de corriente alterna a corriente continua.

Page 24: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

4

Para aislar etapas o áreas de un circuito: Un condensador se comporta (idealmente) como un corto circuito para

la señal alterna y como un circuito abierto para señales de corriente continua, etc.

Nota: Existen condensadores electrolíticos de gran valor que en su mayoría tienen polaridad, esto quiere decir

que su terminal positivo se debe de conectar a una parte del circuito donde el voltaje se mayor que donde se

conecta el terminal negativo.

Condensadores En Serie

Del gráfico se puede ver si se conectan 4 condensadores en serie, para hallar el condensador equivalente se

utiliza la fórmula:

1/CT = 1/C1 + 1/C2 + 1/C3 + 1/C4

Pero fácilmente se puede hacer un cálculo para cualquier número de condensadores con ayuda de la siguiente

fórmula

1 / CT = 1 / C1 + 1 / C2 + .........+ 1 / CN Donde N es el número de condensadores

Condensadores en Paralelo

Del gráfico se puede ver si se conectan 4 condensadores en paralelo, para encontrar el condensador

equivalente se utiliza la fórmula:

CT = C1 + C2 + C3 + C4

Fácilmente se puede hacer un cálculo para cualquier número de condensadores con ayuda de la siguiente

fórmula:

CT = C1 + C2 + .........+ CN

Donde N es el número de condensadores

Page 25: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

5

Como se ve, para obtener el condensador equivalente de condensadores en paralelo, solo basta con sumarlos.

Capacitores Fijos

Estos Capacitores tienen una capacidad fija determinada por el fabricante y su valor no se puede modificar. Sus

características dependen principalmente del tipo de dieléctrico utilizado, de tal forma que los nombres de los

diversos tipos se corresponden con los nombres del dieléctrico usado.

De esta forma podemos distinguir los siguientes tipos:

• Cerámicos.

• Plástico.

• Mica.

• Electrolíticos.

• De tantalio.

Capacitores Cerámicos

El dieléctrico utilizado por estos Capacitores es la cerámica, siendo el material más utilizado el dióxido de

titanio.

Este material confiere al condensador grandes inestabilidades por lo que en base al material se pueden

diferenciar dos grupos:

Grupo I: caracterizados por una alta estabilidad, con un coeficiente de temperatura bien definido y casi

constante.

Grupo II: su coeficiente de temperatura no está prácticamente definido y además de presentar características

no lineales, su capacidad varía considerablemente con la temperatura, la tensión y el tiempo de funcionamiento.

Se caracterizan por su elevada permisividad.

Las altas constantes dieléctricas características de las cerámicas permiten amplias posibilidades

de diseño mecánico y eléctrico.

Capacitores de plástico

Estos Capacitores se caracterizan por las altas resistencias de aislamiento y elevadas temperaturas de

funcionamiento. Según el proceso de fabricación podemos diferenciar entre los de tipo k y tipo MK, que se

distinguen por el material de sus armaduras (metal en el primer caso y metal vaporizado en el segundo).

Según el dieléctrico usado se pueden distinguir estos tipos comerciales:

KS: styroflex, constituidos por láminas de metal y poliestireno como dieléctrico.

KP: formados por láminas de metal y dieléctrico de polipropileno.

MKP: dieléctrico de polipropileno y armaduras de metal vaporizado.

MKY: dieléctrco de polipropileno de gran calidad y láminas de metal vaporizado.

MKT: láminas de metal vaporizado y dieléctrico de teraftalato de polietileno (poliéster).

MKC: makrofol, metal vaporizado para las armaduras y policarbonato para el dieléctrico.

A nivel orientativo estas pueden ser las características típicas de los capacitores de plástico:

Page 26: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

6

Capacitores De Mica

El dieléctrico utilizado en este tipo de capacitores es la mica o silicato de aluminio y potasio y se caracterizan

por bajas pérdidas, ancho rango de frecuencias y alta estabilidad con la temperatura y el tiempo.

Capacitores electrolíticos

En estos capacitores una de las armaduras es de metal mientras que la otra está constituida por un conductor

iónico o electrolito. Presentan unos altos valores capacitivos en relación al tamaño y en la mayoría de los casos

aparecen polarizados.

Podemos distinguir dos tipos:

• Electrolíticos de aluminio: la armadura metálica es de aluminio y el electrolito de tetraborato armónico.

• Electrolíticos de tántalo: el dieléctrico está constituido por óxido de tántalo y nos encontramos con mayores

valores capacitivos que los anteriores para un mismo tamaño. Por otra parte las tensiones nominales que

soportan son menores que los de aluminio y su coste es algo más elevado.

Capacitores De Doble Capa Eléctrica

Estos Capacitores también se conocen como supercapacitores o CAEV debido a la gran capacidad que tienen

por unidad de volumen. Se diferencian de los Capacitores convencionales en que no usan dieléctrico por lo que

son muy delgados. Las características eléctricas más significativas desde el punto de su aplicación como fuente

acumulada de energía son: altos valores capacitivos para reducidos tamaños, corriente de fugas muy baja, alta

resistencia serie, y pequeños valores de tensión.

Capacitores Variables

Estos Capacitores presentan una capacidad que podemos variar entre ciertos límites. Igual que pasa con las

resistencias podemos distinguir entre Capacitores variables, su aplicación conlleva la variación con cierta

frecuencia (por ejemplo sintonizadores); y Capacitores ajustables o trimmers, que normalmente son ajustados

una sola vez (aplicaciones de reparación y puesta a punto).

La variación de la capacidad se lleva a cabo mediante el desplazamiento mecánico entre las placas

enfrentadas. La relación con que varían su capacidad respecto al ángulo de rotación viene determinada por la

forma constructiva de las placas enfrentadas, obedeciendo a distintas leyes de variación, entre las que

destacan la lineal, logarítmica y cuadrática corregida.

Page 27: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

7

1.3 Dispositivos activos

Los componentes activos son aquellos que son capaces de controlar los circuitos o de realizar ganancias . Fundamentalmente son los generadores eléctricos y ciertos componentes semiconductores. Estos últimos, en general, tienen un comportamiento no lineal, esto es, la relación entre la tensión aplicada y la corriente demandada no es lineal. Los componentes activos semiconductores derivan del diodo de Fleming y del tríodo de Lee de Forest. En una primera generación aparecieron las válvulas que permitieron el desarrollo de aparatos electrónicos como la radio o la televisión. Posteriormente, en una segunda generación, aparecerían los semiconductores que más tarde darían paso a los circuitos integrados (tercera generación) cuya máxima expresión se encuentra en los circuitos programables (microprocesador y microcontrolador) que pueden ser considerados como componentes, aunque en realidad sean circuitos que llevan integrados millones de componentes. En la actualidad existe un número elevado de componentes activos, siendo usual, que un sistema electrónico se diseñe a partir de uno o varios componentes activos cuyas características lo condicionará. Esto no sucede con los componentes pasivos. En la siguiente tabla se muestran los principales componentes activos junto a su función más común dentro de un circuito.

Componente Función más común

Amplificador operacional Amplificación, regulación, conversión de señal, conmutación.

Biestable Control de sistemas secuenciales.

PLD Control de sistemas digitales.

Diac Control de potencia.

Diodo Rectificación de señales, regulación, multiplicador de tensión.

Diodo Zener Regulación de tensiones.

FPGA Control de sistemas digitales.

Memoria Almacenamiento digital de datos.

Page 28: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

8

Microprocesador Control de sistemas digitales.

Microcontrolador Control de sistemas digitales.

Pila Generación de energía eléctrica.

Tiristor Control de potencia.

Puerta lógica Control de sistemas combinacionales.

Transistor Amplificación, conmutación.

Triac Control de potencia.

1.3.1. Características de semiconductores

Semiconductor es un elemento que se comporta como un conductor o como un aislante dependiendo de diversos factores, como por ejemplo el campo eléctrico o magnético, la presión, la radiación que le incide, o la temperatura del ambiente en el que se encuentre. Los elementos químicos semiconductores de la tabla periódica se indican en la tabla adjunta.

Elemento Grupos Electrones en la última capa

Cd 12 2 e-

Al, Ga, B, In 13 3 e-

Si, C, Ge 14 4 e-

P, As, Sb 15 5 e-

Se, Te, (S) 16 6 e-

El elemento semiconductor más usado es el silicio, el segundo el germanio, aunque idéntico comportamiento presentan las combinaciones de elementos de los grupos 12 y 13 con los de los grupos 16 y 15 respectivamente (GaAs, PIn, AsGaAl, TeCd, SeCd y SCd). Posteriormente se ha comenzado a emplear también el azufre. La característica común a todos ellos es que son tetravalentes, teniendo el silicio una configuración electrónica s²p².

1.3.1.1. Estructura eléctrica del Silicio

El silicio es un elemento químico metaloide, número atómico 14 y situado en el grupo 14 de la tabla periódica de los elementos formando parte de la familia de los carbonoideos de símbolo Si. Es el segundo elemento más abundante en la corteza terrestre (27,7 % en peso) después del oxígeno. Se presenta en forma amorfa y cristalizada; el primero es un polvo parduzco, más activo que la variante cristalina, que se presenta en octaedros de color azul grisáceo y brillo metálico. Características

Page 29: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

2

9

Polvo de silicio.

Policristal de silicio.

Olivino.

Sus propiedades son intermedias entre las del carbono y el germanio. En forma cristalina es muy duro y poco soluble y presenta un brillo metálico y color grisáceo. Aunque es un elemento relativamente inerte y resiste la acción de la mayoría de los ácidos, reacciona con los halógenos y álcalis diluidos. El silicio transmite más del 95 % de las longitudes de onda de la radiación infrarroja. Propiedades del silicio

El silicio forma parte de los elementos denominados metaloides o semimetales. Este tipo de elementos tienen propiedades intermedias entre metales y no metales. En cuanto a su conductividad eléctrica, este tipo de materiales al que pertenece el silicio, son semiconductores. El estado del silicio en su forma natural es sólido (no magnético). El silicio es un elmento químico de aspecto gris oscuro azulado y pertenece al grupo de los metaloides. El número atómico del silicio es 14. El símbolo químico del silicio es Si. El punto de fusión del silicio es de 1687 grados Kelvin o de 1414,85 grados celsius o grados centígrados. El punto de ebullición del silicio es de 3173 grados Kelvin o de 2900,85 grados celsius o grados centígrados.

Usos del silicio

El silicio es el segundo elemento más abundante en la corteza terrestre y es vital para la industria de la construcción. Si alguna vez te has preguntado para qué sirve el silicio, a continuación tienes una lista de sus posibles usos:

El dióxido de silicio y sílice (en forma de arcilla o arena) son componentes importantes de ladrillos, hormigón y cemento. El silicio es un semiconductor. Esto significa que el flujo eléctrico puede ser controlada mediante el uso de partes de silicio. Por lo tanto, el silicio es muy importante en la industria eléctrica. Componentes de silicio se utilizan en las computadoras, los transistores, células solares, pantallas LCD y otros dispositivos semiconductores. La mayoría del silicio se utiliza para la fabricación de aleaciones de aluminio y silicio con el fin de producir piezas fundidas. Las piezas se producen mediante el vertido del material fundido de aluminio y silicio en un molde. Estas piezas de material fundido se utilizan generalmente en la industria del automóvil para fabricar piezas para coches. La masilla "Silly Putty" antes se hacía mediante la adición de ácido bórico al aceite de silicona. El carburo de silicio es un abrasivo muy importante. Los silicatos se puede utilizar para hacer tanto cerámica y como esmalte. La arena, que contiene silicio, es un componente muy importante del vidrio. La silicona, un polímero derivado del silicio, se utiliza en aceites y ceras, implantes mamarios, lentes de contacto, explosivos y pirotecnia (fuegos artificiales). En el futuro, el silicio puede sustituir al carbón como la principal fuente de electricidad.

Propiedades atómicas del silicio

La masa atómica de un elemento está determinado por la masa total de neutrones y protones que se puede encontrar en un solo átomo perteneciente a este elemento. En cuanto a la posición donde encontrar el silicio

Page 30: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

0

dentro de la tabla periódica de los elementos, el silicio se encuentra en el grupo 14 y periodo 3. El silicio tiene una masa atómica de 28,0855 u. La configuración electrónica del silicio es [Ne]3s2 3p2. La configuración electrónica de los elementos, determina la forma en la cual los electrones están estructurados en los átomos de un elemento. El radio medio del silicio es de 110 pm, su radio atómico o radio de Bohr es de 111 pm, su radio covalente es de 111 pm y su radio de Van der Waals es de 210 pm. El silicio tiene un total de 14 electrones cuya distribución es la siguiente: En la primera capa tiene 2 electrones, en la segunda tiene 8 electrones y en su tercera capa tiene 4 electrones.

Características del silicio

A continuación puedes ver una tabla donde se muestra las principales características que tiene el silicio. Silicio

Símbolo químico Si

Número atómico 14

Grupo 14

Periodo 3

Aspecto gris oscuro azulado

Bloque p

Densidad 2330 kg/m3

Masa atómica 28.0855 u

Radio medio 110 pm

Radio atómico 111

Radio covalente 111 pm

Radio de van der Waals 210 pm

Configuración electrónica [Ne]3s2 3p2

Electrones por capa 2, 8, 4

Estados de oxidación 4

Óxido anfótero

Estructura cristalina cúbica centrada en las caras

Estado sólido

Punto de fusión 1687 K

Punto de ebullición 3173 K

Calor de fusión 50.55 kJ/mol

Presión de vapor 4,77 Pa a 1683 K

Electronegatividad 1,9

Calor específico 700 J/(K·kg)

Conductividad eléctrica 2,52·10-4S/m

Conductividad térmica 148 W/(K·m)

Page 31: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

1

1.3.1.2. Estructura eléctrica del Germanio

El germanio es un elemento químico con número atómico 32, y símbolo Ge perteneciente al período 4 de la tabla periódica de los elementos. Es un metaloide sólido duro, cristalino, de color blanco grisáceo lustroso, quebradizo, que conserva el brillo a temperaturas ordinarias. Presenta la misma estructura cristalina que el diamante y resiste a los ácidos y álcalis. Forma gran número de compuestos organometálicos y es un importante material semiconductor utilizado en transistores y fotodetectores. A diferencia de la mayoría de semiconductores, el germanio tiene una pequeña banda prohibida (band gap) por lo que responde de forma eficaz a la radiación infrarroja y puede usarse en amplificadores de baja intensidad.

Aplicaciones Las aplicaciones del germanio se ven limitadas por su elevado costo y en muchos casos se investiga su sustitución por materiales más económicos.

Fibra óptica.

Electrónica: radares y amplificadores de guitarras eléctricas usados por músicos nostálgicos del sonido de la primera época del rock and roll; aleaciones SiGe en circuitos integrados de alta velocidad. También se utilizan compuestos sandwich Si/Ge para aumentar la movilidad de los electrones en el silicio (streched silicon).

Óptica de infrarrojos: Espectroscopios, sistemas de visión nocturna y otros equipos.

Lentes, con alto índice de refracción, de ángulo ancho y para microscopios.

En joyería se usa la aleación Au con 12% de germanio.

Como elemento endurecedor del aluminio, magnesio y estaño.

Quimioterapia.

Page 32: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

2

El tetracloruro de germanio es un ácido de Lewis y se usa como catalizador en la síntesis de polímeros (PET).

Propiedades del germanio

El germanio forma parte de los elementos denominados metaloides o semimetales. Este tipo de elementos tienen propiedades intermedias entre metales y no metales. En cuanto a su conductividad eléctrica, este tipo de materiales al que pertenece el germanio, son semiconductores. El estado del germanio en su forma natural es sólido. El germanio es un elmento químico de aspecto blanco grisáceo y pertenece al grupo de los metaloides. El número atómico del germanio es 32. El símbolo químico del germanio es Ge. El punto de fusión del germanio es de 1211,4 grados Kelvin o de 939,25 grados celsius o grados centígrados. El punto de ebullición del germanio es de 3093 grados Kelvin o de 2820,85 grados celsius o grados centígrados. Usos del germanio

El germanio es una sustancia dura de color blanco grisáceo que se encuentra con los minerales de zinc, plata, plomo y cobre. Un químico alemán llamado Clemens Winkler descubrió este elemento en el año 1886 y la llamó así en referencia a Alemania. La producción principal de germanio es como un subproducto de la obtención del mineral de zinc y no se producen más de 100 toneladas al año. Es es muy demandado por sus importantes aplicaciones. Si alguna vez te has preguntado para qué sirve el germanio, a continuación tienes una lista de sus posibles usos:

El germanio se utiliza como material semiconductor. Se usa generalmente, junto al silicio, en los circuitos integrados de alta velocidad para mejorar su rendimiento. En algunos casos se está planteando sustituir al silicio por germanio para hacer chips miniaturizados.

También se utiliza en las lámparas fluorescentes y algunos didodos LED.

Algunos pedales de guitarra contienen transistores de germanio para producir un tono de distorsión característico.

Se puede utilizar en los paneles solares. De hecho, los robots exploradores de marte contienen germanio en sus células solares.

El germanio se combina con el oxígeno para su uso en las lentes de las cámaras y la microscopía. También se utiliza para la fabricación del núcleo de cables de fibra óptica.

También se utiliza en aplicaciones de imágenes térmicas para uso militar y la lucha contra incendios.

El germanio se utiliza en el control de los aeropuertos para detectar las fuentes de radiación.

Hay algunos indicios de que puede ayudar al sistema inmunológico de pacientes con cáncer, pero esto todavía no está probado. Actualmente el germanio está considerado como un peligro potencial para la salud cuando se utiliza como suplemento nutricional.

Propiedades atómicas del germanio

La masa atómica de un elemento está determinado por la masa total de neutrones y protones que se puede encontrar en un solo átomo perteneciente a este elemento. En cuanto a la posición donde encontrar el germanio dentro de la tabla periódica de los elementos, el germanio se encuentra en el grupo 14 y periodo 4. El germanio tiene una masa atómica de 72,64 u.

Page 33: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

3

La configuración electrónica del germanio es [Ar]3d10 4s2 4p2. La configuración electrónica de los elementos, determina la forma el la cual los electrones están estructurados en los átomos de un elemento. El radio medio del germanio es de 125 pm, su radio atómico o radio de Bohr es de 125 pm y su radio covalente es de 122 pm. El germanio tiene un total de 32 electrones cuya distribución es la siguiente: En la primera capa tiene 2 electrones, en la segunda tiene 8 electrones, en su tercera capa tiene 18 electrones y en la cuarta, 4 electrones. Características del germanio

A continuación puedes ver una tabla donde se muestra las principales características que tiene el germanio. Germanio

Símbolo químico Ge

Número atómico 32

Grupo 14

Periodo 4

Aspecto blanco grisáceo

Bloque p

Densidad 5323 kg/m3

Masa atómica 72.64 u

Radio medio 125 pm

Radio atómico 125

Radio covalente 122 pm

Configuración electrónica [Ar]3d10 4s2 4p2

Electrones por capa 2, 8, 18, 4

Estados de oxidación 4

Óxido anfótero

Estructura cristalina cúbica centrada en las caras

Estado sólido

Punto de fusión 1211.4 K

Punto de ebullición 3093 K

Calor de fusión 36.94 kJ/mol

Presión de vapor 0,0000746 Pa a 1210 K

Electronegatividad 2,01

Calor específico 320 J/(K·kg)

Conductividad eléctrica 1,45 S/m

Conductividad térmica 59,9 W/(K·m)

1.3.1.3. Materiales tipo N y tipo P

Semiconductor tipo N Un Semiconductor tipo N se obtiene llevando a cabo un proceso de dopado añadiendo un cierto tipo de átomos al semiconductor para poder aumentar el número de portadores de carga libres (en este caso negativos o electrones). Cuando se añade el material dopante, aporta sus electrones más débilmente vinculados a los átomos del semiconductor. Este tipo de agente dopante es también conocido como material donante, ya que da algunos de sus electrones. El propósito del dopaje tipo n es el de producir abundancia de electrones portadores en el material. Para ayudar a entender cómo se produce el dopaje tipo n considérese el caso del silicio (Si). Los átomos del silicio tienen una valencia atómica de cuatro, por lo que se forma un enlace covalente con cada uno de los átomos de silicio adyacentes. Si un átomo con cinco electrones de valencia, tales como los del grupo 15 de la tabla periódica (ej. fósforo (P), arsénico (As) oantimonio (Sb)), se incorpora a la red cristalina en el lugar de un átomo de silicio, entonces ese átomo tendrá cuatro enlaces covalentes y un electrón no enlazado. Este electrón extra da como

Page 34: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

4

resultado la formación de "electrones libres", el número de electrones en el material supera ampliamente el número de huecos, en ese caso los electrones son los portadores mayoritarios y los huecos son los portadores minoritarios. A causa de que los átomos con cinco electrones de valencia tienen un electrón extra que "dar", son llamados átomos donadores. Nótese que cada electrón libre en el semiconductor nunca está lejos de un ion dopante positivo inmóvil, y el material dopado tipo N generalmente tiene una carga eléctrica neta final de cero. Semiconductor tipo P Un Semiconductor tipo P se obtiene llevando a cabo un proceso de dopado, añadiendo un cierto tipo de átomos al semiconductor para poder aumentar el número de portadores de carga libres (en este caso positivos o huecos). Cuando se añade el material dopante libera los electrones más débilmente vinculados de los átomos del semiconductor. Este agente dopante es también conocido como material aceptor y los átomos del semiconductor que han perdido un electrón son conocidos como huecos. El propósito del dopaje tipo P es el de crear abundancia de huecos. En el caso del silicio, un átomo tetravalente (típicamente del grupo 14 de la tabla periódica) se le une un átomo con tres electrones de valencia, tales como los del grupo 13 de la tabla periódica (ej. Al, Ga, B, In), y se incorpora a la red cristalina en el lugar de un átomo de silicio, entonces ese átomo tendrá tres enlaces covalentes y un hueco producido que se encontrará en condición de aceptar un electrón libre. Así los dopantes crean los "huecos". No obstante, cuando cada hueco se ha desplazado por la red, un protón del átomo situado en la posición del hueco se ve "expuesto" y en breve se ve equilibrado como una cierta carga positiva. Cuando un número suficiente de aceptores son añadidos, los huecos superan ampliamente la excitación térmica de los electrones. Así, los huecos son los portadores mayoritarios, mientras que los electrones son los portadores minoritarios en los materiales tipo P. Los diamantes azules (tipo IIb), que contienen impurezas de boro (B), son un ejemplo de un semiconductor tipo P que se produce de manera natural.

Se denomina unión PN a la estructura fundamental de los componentes electrónicos comúnmente denominados semiconductores, principalmente diodos ytransistores. Está formada por la unión metalúrgica de dos cristales, generalmente de silicio (Si), aunque también se fabrican de germanio (Ge), de naturalezas P y N según su composición a nivel atómico. Estos tipos de cristal se obtienen al dopar cristales de metal puro intencionadamente con impurezas, normalmente con algún otro metal o compuesto químico. Es la base del funcionamiento de la energía solar fotovoltaica.

Page 35: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

5

Page 36: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

6

1.3.2. Dispositivos semiconductores

1.3.2.1. Diodos Un diodo es un componente electrónico de dos terminales que permite la circulación de la corriente eléctrica a través de él en un solo sentido. Este término generalmente se usa para referirse al diodo semiconductor, el más común en la actualidad; consta de una pieza de cristal semiconductor conectada a dos terminales eléctricos. El diodo de vacío (que actualmente ya no se usa, excepto para tecnologías de alta potencia) es un tubo de vacío con dos electrodos: una lámina como ánodo, y un cátodo. De forma simplificada, la curva característica de un diodo (I-V) consta de dos regiones: por debajo de cierta diferencia de potencial, se comporta como un circuito abierto (no conduce), y por encima de ella como un circuito cerrado con una resistencia eléctrica muy pequeña. Debido a este comportamiento, se les suele denominar rectificadores, ya que son dispositivos capaces de suprimir la parte negativa de cualquier señal, como paso inicial para convertir una corriente alterna en corriente continua. Su principio de funcionamiento está basado en los experimentos de Lee De Forest. Los primeros diodos eran válvulas o tubos de vacío, también llamados válvulas termoiónicas constituidos por dos electrodos rodeados de vacío en un tubo de cristal, con un aspecto similar al de las lámparas incandescentes. El invento fue desarrollado en 1904 por John Ambrose Fleming, empleado de la empresa Marconi, basándose en observaciones realizadas por Thomas Alva Edison. Al igual que las lámparas incandescentes, los tubos de vacío tienen un filamento (el cátodo) a través del cual circula la corriente, calentándolo por efecto Joule. El filamento está tratado con óxido de bario, de modo que al calentarse emite electrones al vacío circundante los cuales son conducidos electrostáticamente hacia una placa, curvada por un muelle doble, cargada positivamente (el ánodo), produciéndose así la conducción. Evidentemente, si el cátodo no se calienta, no podrá ceder electrones. Por esa razón, los circuitos que utilizaban válvulas de vacío requerían un tiempo para que las válvulas se calentaran antes de poder funcionar y las válvulas se quemaban con mucha facilidad.

1.3.2.1.1. LED

Un led (del acrónimo inglés LED, light-emitting diode: ‘diodo emisor de luz’; el plural aceptado por la RAE es ledes) es un componente optoelectrónico pasivo y, más concretamente, un diodo que emite luz. Los ledes se usan como indicadores en muchos dispositivos y en iluminación. Los primeros ledes emitían luz roja de baja intensidad, pero los dispositivos actuales emiten luz de alto brillo en el espectro infrarrojo, visible y ultravioleta.

Page 37: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

7

Debido a su capacidad de operación a altas frecuencias, son también útiles en tecnologías avanzadas de comunicaciones y control. Los ledes infrarrojos también se usan en unidades de control remoto de muchos productos comerciales incluyendo equipos de audio y video.

Características

Formas de determinar la polaridad de un led de inserción Existen tres formas principales de conocer la polaridad de un led:

La pata más larga siempre va a ser el ánodo.

En el lado del cátodo, la base del led tiene un borde plano.

Dentro del led, la plaqueta indica el ánodo. Se puede reconocer porque es más pequeña que el yunque, que indica el cátodo.

Ventajas Los leds presentan muchas ventajas sobre las fuentes de luz incandescente y fluorescente, tales como: el bajo consumo de energía, un mayor tiempo de vida, tamaño reducido, resistencia a las vibraciones, reducida emisión de calor, no contienen mercurio (el cual al exponerse en el medio ambiente es altamente nocivo), en comparación con la tecnología fluorescente, no crean campos magnéticos altos como la tecnología de inducción magnética, con los cuales se crea mayor radiación residual hacia el ser humano; reducen ruidos en las líneas eléctricas, son especiales para utilizarse con sistemas fotovoltaicos (paneles solares) en comparación con cualquier otra tecnología actual; no les afecta el encendido intermitente (es decir pueden funcionar como luces estroboscópicas) y esto no reduce su vida promedio, son especiales para sistemas antiexplosión ya que cuentan con un material resistente, y en la mayoría de los colores (a excepción de los ledes azules), cuentan con un alto nivel de fiabilidad y duración.

Funcionamiento Cuando un led se encuentra en polarización directa, los electrones pueden recombinarse con los huecos en el dispositivo, liberando energía en forma de fotones. Este efecto es llamado electroluminiscencia y el color de la luz (correspondiente a la energía del fotón) se determina a partir de la banda de energía del semiconductor. Por lo general, el área de un led es muy pequeña (menor a 1 mm

2), y se pueden usar componentes ópticos

integrados para formar su patrón de radiación. Comienza a lucir con una tensión de unos 2 Voltios.

1.3.2.1.2. Rectificadores

Un rectificador de onda completa es un circuito empleado para convertir una señal de corriente alterna de entrada (Vi) en corriente continua de salida (Vo) pulsante. A diferencia del rectificador de media onda, en este caso, la parte negativa de la señal se convierte en positiva o bien la parte positiva de la señal se convertirá en negativa, según se necesite una señal positiva o negativa de corriente continua.

En el circuito de la figura, ambos diodos no pueden encontrarse simultáneamente en directa o en inversa, ya que las diferencias de potencial a las que están sometidos son de signo contrario; por tanto uno se encontrará polarizado inversamente y el otro directamente. La tensión de entrada (Vi) es, en este caso, la media de la tensión del secundario del transformador.

Page 38: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

8

En este caso se emplean cuatro diodos con la disposición de la figura. Al igual que antes, sólo son posibles dos estados de conducción, o bien los diodos 1 y 3 están en directa y conducen (tensión positiva) o por el contrario son los diodos 2 y 4 los que se encuentran en directa y conducen (tensión negativa). A diferencia del caso anterior, ahora la tensión máxima de salida es la del secundario del transformador (el doble de la del caso anterior), la misma que han de soportar los diodos en inversa, al igual que en el rectificador con dos diodos. Esta es la configuración usualmente empleada para la obtención de onda continua.

La rectificación de una corriente alterna (C.A.) para convertirla en corriente directa (C.D.) —denominada.también corriente continua (C.C.)— es una de las tecnologías más antiguas empleadas en los circuitos electrónicos desde principios del siglo pasado, incluso antes que existieran los elementos semiconductores de estado sólido, como los diodos de silicio que conocemos en la actualidad. Puesto que los diodos permiten el paso de la corriente eléctrica en una dirección y lo impiden en la dirección contraria, se han empleado también durante muchos años en la detección de señales de alta frecuencia, como las de radiodifusión, para convertirlas en audibles en los receptores de radio. En la actualidad varios tipos de diodos de construcción especial pueden realizar otras funciones diferentes a la simple rectificación o detección de la corriente cuando se instalan en los circuitos electrónicos. Funcionamiento de un diodo rectificador común de media onda Para comprender mejor la forma en que funciona un semiconductor diodo, es necesario recordar primero que la corriente alterna (C.A.) circula por el circuito eléctrico formando una sinusoide, en la que medio ciclo posee polaridad positiva mientras y el otro medio ciclo posee polaridad negativa. Es decir, cuando una corriente alterna circula por un circuito eléctrico cerrado su polaridad cambia constantemente tantas veces como ciclos o hertz por segundo de frecuencia posea. En el caso de la corriente alterna que llega a nuestros hogares la frecuencia puede ser de 50 o de 60 ciclos en dependencia del sistema que haya adoptado cada país en cuestión. En Europa la frecuencia adoptada es de 50 ciclos y de 60 ciclos en la mayor parte de los países de América (Ver tabla de frecuencia de la corriente por países y los respectivos voltajes).

Animación de un circuito rectificador simple de media onda, compuesto por un solo diodo.

En la animación de arriba se puede apreciar que en el proceso de rectificación de la corriente alterna (C.A.) utilizando un solo diodo, durante un primer medio ciclo negativo los electrones circularán por el circuito atravesando primero el diodo y a continuación el consumidor o carga eléctrica, representado por una

Page 39: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

3

9

resistencia (R). En ese instante, en los extremos de la resistencia se podrá detectar una corriente directa "pulsante" que responde a ese medio ciclo. En el medio ciclo siguiente (esta vez positivo), los electrones cambiarán su sentido de circulación y no podrán atravesar ni la resistencia, ni el semiconductor diodo, porque en ese instante el camino estará bloqueado por el terminal positivo del diodo y no habrá circulación de corriente por el circuito. A continuación y durante el medio ciclo siguiente negativo, de nuevo el diodo vuelve a permitir el paso de los electrones, para bloquearlo nuevamente al cambiar la corriente el sentido de circulación y así sucesivamente mientras se continúe suministrándole corriente al diodo.

Por tanto, durante cada medio ciclo negativo de una fuente de corriente alterna (C.A.) conectada a un diodo se registra una polaridad fija en los extremos de un consumidor conectado al circuito de salida del propio diodo, mientras que durante el siguiente medio ciclo positivo no aparecerá polaridad alguna debido al bloqueo que ofrece el propio diodo al paso de los electrones en sentido inverso. De esa forma, a través del consumidor circulará una corriente pulsante, pues en este caso el diodo actúa como un rectificador de corriente alterna de media onda.

Funcionamiento de los diodos rectificadores de onda completa

Cuando un circuito eléctrico o electrónico requiere de una corriente directa que no sea pulsante, sino mucho más lineal que la que permite un simple rectificador de media onda, es posible combinar de dos a cuatro diodos rectificadores de forma tal que la resultante sea una corriente directa (C.D.) con menos oscilaciones residuales.

La estructura más usual para obtener un puente rectificador de "onda completa" es la compuesta por cuatro diodos conectados de forma conveniente. Sin embargo, en algunos casos se obtiene un efecto similar conectando solamente dos diodos, empleando como fuente de suministro de corriente alterna (C.A.) un transformador con una derivación en el centro del enrollado secundario. Esa derivacióncentral permite alimentar por igual a cada uno de los diodos gracias a su simetría en contrafase que hace posible que el

punto medio del enrollado sea siempre el polo negativo mientras el polo positivo cambia en sus extremos cada

medio ciclo de frecuencia alterna de la corriente aplicada al circuito. Sin embargo, a la salida del circuito

rectificador se obtiene una corriente directa (C.D.) de onda completa.

Animación del funcionamiento de un circuito rectificador de corriente alterna de onda completa compuesto por dos diodos de silicio.

No obstante, la mayoría de los circuitos eléctricos o electrónicos que funcionan con corriente directa (C.D.), emplean rectificadores de onda completa compuestos por cuatro diodos. A continuación se ilustran tres formas de esquematizar en un diagrama la conexión de esos cuatro diodos para obtener un rectificador de onda completa.

Page 40: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

0

Diferentes formas de representar esquemáticamente un mismo puente rectificador de onda completa integrado por cuatro diodos, aunque la figura de la izquierda es la forma más común de representarlo.

Un puente rectificador de cuatro diodos funciona de la siguiente forma: como se puede observar en la parte (A) de la ilustración, durante el primer medio ciclo negativo (–) de la corriente que proporciona la fuente de suministro alterna (C.A.) conectada al puente rectificador, los electrones atraviesan primero el diodo (1), seguidamente el consumidor(R) y después el diodo (2) para completar así la circulación de la corriente de electrones por una mitad del circuito correspondiente al puente rectificador.

Como aclaración, al llegar los electrones en su recorrido al punto de conexión (a), no pueden atravesar el diodo (4) porque, de acuerdo con la colocación que éste ocupa en el circuito, bloqueará o impedirá la circulación de los electrones en ese sentido. Una vez que los electrones continúan su recorrido, al llegar al punto de conexión (b), tampoco pueden atravesar el diodo (4), porque la corriente de electrones nunca circula en dirección a su propio encuentro (de forma similar a como ocurre con la corriente de agua en un río), sino que siempre se mueve en dirección al polo opuesto de la fuente de suministro que le proporciona la energía eléctrica, o sea, el polo positivo de la corriente alterna (C.A.) en este caso. En la parte (B) de la ilustración podemos ver que la corriente alterna cambia la polaridad y, por tanto, el sentido de circulación de los electrones. En esta ocasión, los electrones atraviesan primeramente el diodo (3), a continuación atraviesan el consumidor (R) y, por último, el diodo (4) para retornar a la fuente de suministro eléctrico y completar así el circuito. De forma similar a lo ocurrido en el ciclo anterior, ahora el diodo (1) es el encargado de bloquearle el paso a los electrones para que se puedan dirigir en dirección al consumidor (R), mientras que el diodo (2) tampoco pueden atravesarlo los electrones, porque no pueden ir a su propio encuentro, tal como ocurre en el medio ciclo anterior.

Page 41: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

1

Animación del funcionamiento del rectificador de onda completa o puente rectificador, compuesto por cuatro diodos.

Como se habrá podido apreciar, tanto en el primer medio ciclo, como en el siguiente, los signos de polaridad positiva (+) y negativa (–) a la salida del circuito del puente de rectificación donde se encuentra conectado el consumidor (R), se mantiene constante, pues una vez rectificada la corriente alterna (C.A.)y convertida en directa (C.D.) las polaridades no sufren variación alguna como ocurre con la corriente alterna a la entrada del circuito. En esa ilustración se puede ver también que a la salida del circuito de rectificación se obtienen una serie de pulsaciones continuas, es decir, no intermitentes como ocurre cuando se emplea un solo diodo rectificador en un circuito de media onda.

1.3.2.1.3. Zener

El diodo Zener es un diodo de cromo1 que se ha construido para que funcione en las zonas de rupturas, recibe

ese nombre por su inventor, el Dr. Clarence Melvin Zener. El diodo Zener es la parte esencial de los reguladores de tensión casi constantes con independencia de que se presenten grandes variaciones de la tensión de red, de laresistencia de carga y temperatura. Son mal llamados a veces diodos de avalancha, pues presentan comportamientos similares a estos, pero los mecanismos involucrados son diferentes. Además si el voltaje de la fuente es inferior a la del diodo éste no puede hacer su regulación característica. Si a un diodo Zener se le aplica una corriente eléctrica del ánodo al cátodo (polarización directa) toma las características de un diodo rectificador básico(la mayoría de casos), pero si se le suministra corriente eléctrica decátodo a ánodo (polarización inversa), el diodo solo dejara pasar una tensión constante. No actúa como rectificador sino como un estabilizador de tensión En conclusión: el diodo Zener debe ser polarizado al revés para que adopte su característica de regulador de tensión. En la siguiente figura se observa su uso como regulador de tensión:

Page 42: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

2

Variando la tensión V a valores mayores que la tensión de ruptura del zener, Vz se mantiene constante. Su símbolo es como el de un diodo normal pero tiene dos terminales a los lados. Este diodo se comporta como un diodo convencional en condiciones de alta corriente porque cuando recibe demasiada corriente se quema.

1.3.2.2. Transistores

El transistor es un dispositivo electrónico semiconductor utilizado para entregar una señal de salida en respuesta a una señal de entrada. Cumple funciones de amplificador, oscilador, conmutador o rectificador. El término «transistor» es la contracción en inglés de transfer resistor («resistencia de transferencia»). Actualmente se encuentran prácticamente en todos los aparatos electrónicos de uso

Page 43: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

3

diario: radios, televisores, reproductores de audio y video, relojes de cuarzo, computadoras, lámparas fluorescentes, tomógrafos, teléfonos celulares, entre otros.

1.3.2.2.1. Bipolares

El transistor de unión bipolar (o BJT, por sus siglas del inglés Bipolar Junction Transistor) se fabrica básicamente sobre un monocristal de Germanio, Silicio o Arseniuro de galio, que tienen cualidades de semiconductores, estado intermedio entreconductores como los metales y los aislantes como el diamante. Sobre el sustrato de cristal, se contaminan en forma muy controlada tres zonas, dos de las cuales son del mismo tipo, NPN o PNP, quedando formadas dos uniones NP. La zona N con elementos donantes de electrones (cargas negativas) y la zona P de aceptadores o «huecos» (cargas positivas). Normalmente se utilizan como elementos aceptadores P al Indio (In), Aluminio (Al) o Galio (Ga) y donantes N alArsénico (As) o Fósforo (P). La configuración de uniones PN, dan como resultado transistores PNP o NPN, donde la letra intermedia siempre corresponde a la característica de la base, y las otras dos al emisor y al colector que, si bien son del mismo tipo y de signo contrario a la base, tienen diferente contaminación entre ellas (por lo general, el emisor está mucho más contaminado que el colector). El mecanismo que representa el comportamiento semiconductor dependerá de dichas contaminaciones, de la geometría asociada y del tipo de tecnología de contaminación (difusión gaseosa, epitaxial, etc.) y del comportamiento cuántico de la unión.

Page 44: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

4

CONFIGURACION EMISOR – COMUN

CONFIGURACIÓN BASE – COMÚN

Page 45: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

5

CONFIGURACIÓN COLECTOR - COMÚN

1.3.2.2.2. JFET

El transistor de efecto de campo de unión (JFET), fue el primer transistor de efecto de campo en la práctica. Lo forma una barra de material semiconductor de silicio de tipo N o P. En los terminales de la barra se establece un contacto óhmico, tenemos así un transistor de efecto de campo tipo N de la forma más básica. Si se difunden dos regiones P en una barra de material N y se conectan externamente entre sí, se producirá una puerta. A uno de estos contactos le llamaremos surtidor y al otro drenador. Aplicando tensión positiva entre el drenador y el surtidor y conectando la puerta al surtidor, estableceremos una corriente, a la que llamaremos corriente de drenador con polarización cero. Con un potencial negativo de puerta al que llamamos tensión de estrangulamiento, cesa la conducción en el canal. El transistor de efecto de campo, o FET por sus siglas en inglés, que controla la corriente en función de una tensión; tienen alta impedancia de entrada.

Transistor de efecto de campo de unión, JFET, construido mediante una unión PN.

Transistor de efecto de campo de compuerta aislada, IGFET, en el que la compuerta se aísla del canal mediante un dieléctrico.

Transistor de efecto de campo MOS, MOSFET, donde MOS significa Metal-Óxido-Semiconductor, en este caso la compuerta es metálica y está separada del canal semiconductor por una capa de óxido.

El JFET (Junction Field-Effect Transistor, en español transistor de efecto de campo de juntura o unión) es un dispositivo electrónico, esto es, un circuito que, según unos valores eléctricos de entrada, reacciona dando unos valores de salida. En el caso de los JFET, al ser transistores de efecto de campo eléctrico, estos valores de entrada son las tensiones eléctricas, en concreto la tensión entre los terminales S (fuente) y G (puerta), VGS. Según este valor, la salida del transistor presentará una curva característica que se simplifica definiendo en ella tres zonas con ecuaciones definidas: corte, óhmica y saturación. Físicamente, un JFET de los denominados "canal P" está formado por una pastilla de semiconductor tipo P en cuyos extremos se sitúan dos patillas de salida (drenador y fuente) flanqueada por dos regiones con dopaje de tipo N en las que se conectan dos terminales conectados entre sí (puerta). Al aplicar una tensión positiva VGS entre puerta y fuente, las zonas N crean a su alrededor sendas zonas en las que el paso de electrones

Page 46: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

6

(corriente ID) queda cortado, llamadas zonas de exclusión. Cuando esta VGS sobrepasa un valor determinado, las zonas de exclusión se extienden hasta tal punto que el paso de electrones ID entre fuente y drenador queda completamente cortado. A ese valor de VGS se le denomina Vp. Para un JFET "canal N" las zonas p y n se invierten, y las VGS y Vp son negativas, cortándose la corriente para tensiones menores que Vp. Así, según el valor de VGS se definen dos primeras zonas; una activa para tensiones negativas mayores que Vp (puesto que Vp es también negativa) y una zona de corte para tensiones menores que Vp. Los distintos valores de la ID en función de la VGS vienen dados por una gráfica o ecuación denominada ecuación de entrada. En la zona activa, al permitirse el paso de corriente, el transistor dará una salida en el circuito que viene definida por la propia ID y la tensión entre el drenador y la fuente VDS. A la gráfica o ecuación que relaciona estás dos variables se le denomina ecuación de salida, y en ella es donde se distinguen las dos zonas de funcionamiento de activa: óhmica y saturación.

1.3.2.2.3. MOSFET

El transistor de efecto de campo metal-óxido-semiconductor o MOSFET (en inglés Metal-oxide-semiconductor Field-effect transistor) es un transistor utilizado para amplificar o conmutar señales electrónicas. Es el transistor más utilizado en la industria microelectrónica, ya sea en circuitos analógicos o digitales, aunque el transistor de unión bipolar fue mucho más popular en otro tiempo. Prácticamente la totalidad de los microprocesadores comerciales están basados en transistores MOSFET. El MOSFET es un dispositivo de cuatro terminales llamados surtidor (S), drenador (D), compuerta (G) y sustrato (B). Sin embargo, el sustrato generalmente está conectado internamente al terminal del surtidor, y por este motivo se pueden encontrar dispositivos MOSFET de tres terminales. El término 'metal' en el nombre MOSFET es actualmente incorrecto ya que el material de la compuerta, que antes era metálico, ahora se construye con una capa de silicio policristalino. El aluminio fue el material por excelencia de la compuerta hasta mediados de 1970, cuando el silicio policristalino comenzó a dominar el mercado gracias a su capacidad de formar compuertas auto-alineadas. Las compuertas metálicas están volviendo a ganar popularidad, dada la dificultad de incrementar la velocidad de operación de los transistores sin utilizar componentes metálicos en la compuerta. De manera similar, el 'óxido' utilizado como aislante en la compuerta también se ha reemplazado por otros materiales con el propósito de obtener canales fuertes con la aplicación de tensiones más pequeñas. Un transistor de efecto de campo de compuerta aislada o IGFET (Insulated-gate field-effect transistor) es un término relacionado que es equivalente a un MOSFET. El término IGFET es más inclusivo, ya que muchos transistores MOSFET utilizan una compuerta que no es metálica, y un aislante de compuerta que no es un óxido. Otro dispositivo relacionado es el MISFET, que es un transistor de efecto de campo metal-aislante-semiconductor (Metal-insulator-semiconductor field-effect transistor).

Page 47: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

7

1.3.2.3. Tiristores

El tiristor (gr.: puerta) es un componente electrónico constituido por elementos semiconductores que utilizarealimentación interna para producir una conmutación. Los materiales de los que se compone son de tipo semiconductor, es decir, dependiendo de la temperatura a la que se encuentren pueden funcionar como aislantes o como conductores. Son dispositivos unidireccionales porque solamente transmiten la corriente en un único sentido. Se emplea generalmente para el control de potencia eléctrica. El dispositivo consta de un ánodo y un cátodo, donde las uniones son de tipo PNPN entre los mismos. Por tanto se puede modelar como 2 transistores típicos PNP y NPN, por eso se dice también que el tiristor funciona con tensión realimentada. Se crean así 3 uniones (denominadas J1, J2, J3 respectivamente), el terminal de puerta está conectado a la unión J2 (unión NP). Algunas fuentes definen como sinónimos al tiristor y al rectificador controlado de silicio (SCR);

1 otras definen al

SCR como un tipo de tiristor, a la par que los dispositivos DIAC y TRIAC. Este elemento fue desarrollado por ingenieros de General Electric en los años 1960. Aunque un origen más remoto de este dispositivo lo encontramos en el SCR creado por William Shockley (premio Nobel de física en 1956) en 1950, el cual fue defendido y desarrollado en los laboratorios Bell en 1956. Gordon Hall lideró el desarrollo en Morgan Stanley para su posterior comercialización por parte de Frank W. "Bill" Gutzwiller, de General Electric.

Formas de activar un tiristor

Luz: Si un haz de luz incide en las uniones de un tiristor, hasta llegar al mismo silicio, el número de pares electrón-hueco aumentará pudiéndose activar el tiristor. Corriente de Compuerta: Para un tiristor polarizado en directa, la inyección de una corriente de compuerta al aplicar un voltaje positivo entre compuerta y cátodolo activará. Si aumenta esta corriente de compuerta, disminuirá el voltaje de bloqueo directo, revirtiendo en la activación del dispositivo.

Page 48: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

8

Térmica: Una temperatura muy alta en el tiristor produce el aumento del número de pares electrón-hueco, por lo que aumentarán las corrientes de fuga, con lo cual al aumentar la diferencia entre ánodo y cátodo, y gracias a la acción regenerativa, esta corriente puede llegar a ser 1, y el tiristor puede activarse. Este tipo de activación podría comprender una fuga térmica, normalmente cuando en un diseño se establece este método como método de activación, esta fuga tiende a evitarse. Alto Voltaje: Si el voltaje directo desde el ánodo hacia el cátodo es mayor que el voltaje de ruptura directo, se creará una corriente de fuga lo suficientemente grande para que se inicie la activación con retroalimentación. Normalmente este tipo de activación puede dañar el dispositivo, hasta el punto de destruirlo. Elevación del voltaje ánodo-cátodo: Si la velocidad en la elevación de este voltaje es lo suficientemente alta, entonces la corriente de las uniones puede ser suficiente para activar el tiristor. Este método también puede dañar el dispositivo.

El tiristor es un conmutador biestable, es decir, es el equivalente electrónico de los interruptores mecánicos; por tanto, es capaz de dejar pasar plenamente o bloquear por completo el paso de la corriente sin tener nivel intermedio alguno, aunque no son capaces de soportar grandes sobrecargas de corriente. Este principio básico puede observarse también en el diodo Shockley. El diseño del tiristor permite que éste pase rápidamente a encendido al recibir un pulso momentáneo de corriente en su terminal de control, denominada puerta (o en inglés, gate) cuando hay una tensión positiva entre ánodo y cátodo, es decir la tensión en el ánodo es mayor que en el cátodo. Solo puede ser apagado con la interrupción de la fuente de voltaje, abriendo el circuito, o bien, haciendo pasar una corriente en sentido inverso por el dispositivo. Si se polariza inversamente en el tiristor existirá una débil corriente inversa de fugas hasta que se alcance el punto de tensión inversa máxima, provocándose la destrucción del elemento (por avalancha en la unión).

Page 49: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

4

9

Para que el dispositivo pase del estado de bloqueo al estado activo, debe generarse una corriente de enganche positiva en el ánodo, y además debe haber una pequeña corriente en la compuerta capaz de provocar una ruptura por avalancha en la unión J2 para hacer que el dispositivo conduzca. Para que el dispositivo siga en el estado activo se debe inducir desde el ánodo una corriente de sostenimiento, mucho menor que la de enganche, sin la cual el dispositivo dejaría de conducir. A medida que aumenta la corriente de puerta se desplaza el punto de disparo. Se puede controlar así la tensión necesaria entre ánodo y cátodo para la transición OFF -> ON, usando la corriente de puerta adecuada (la tensión entre ánodo y cátodo dependen directamente de la tensión de puerta pero solamente para OFF -> ON). Cuanto mayor sea la corriente suministrada al circuito de puerta IG (intensidad de puerta), tanto menor será la tensión ánodo-cátodo necesaria para que el tiristor conduzca. También se puede hacer que el tiristor empiece a conducir si no existe intensidad de puerta y la tensión ánodo-cátodo es mayor que la tensión de bloqueo Normalmente son usados en diseños donde hay corrientes o voltajes muy grandes, también son comúnmente usados para controlar corriente alterna donde el cambio de polaridad de la corriente revierte en la conexión o desconexión del dispositivo. Se puede decir que el dispositivo opera de forma síncrona cuando, una vez que el dispositivo está abierto, comienza a conducir corriente en fase con el voltaje aplicado sobre la unión cátodo-ánodo sin la necesidad de replicación de la modulación de la puerta. En este momento el dispositivo tiende de forma completa al estado de encendido. No se debe confundir con la operación simétrica, ya que la salida es unidireccional y va solamente del cátodo al ánodo, por tanto en sí misma es asimétrica. Los tiristores pueden ser usados también como elementos de control en controladores accionados por ángulos de fase, esto es una modulación por ancho de pulsos para limitar el voltaje en corriente alterna. En circuitos digitales también se pueden encontrar tiristores como fuente de energía o potencial, de forma que pueden ser usados como interruptores automáticos magneto-térmicos, es decir, pueden interrumpir un circuito eléctrico, abriéndolo, cuando la intensidad que circula por él se excede de un determinado valor. De esta forma se interrumpe la corriente de entrada para evitar que los componentes en la dirección del flujo de corriente queden dañados. El tiristor también se puede usar en conjunto con un diodo Zener enganchado a su puerta, de forma que cuando el voltaje de energía de la fuente supera el voltaje zener, el tiristor conduce, acortando el voltaje de entrada proveniente de la fuente a tierra, fundiendo un fusible. La primera aplicación a gran escala de los tiristores fue para controlar la tensión de entrada proveniente de una fuente de tensión, como un enchufe, por ejemplo. A comienzo de los ’70 se usaron los tiristores para estabilizar el flujo de tensión de entrada de los receptores de televisión en color. Se suelen usar para controlar la rectificación en corriente alterna, es decir, para transformar esta corriente alterna en corriente continua (siendo en este punto los tiristores onduladores o inversores), para la realización de conmutaciones de baja potencia en circuitos electrónicos. Otras aplicaciones comerciales son en electrodomésticos (iluminación, calentadores, control de temperatura, activación de alarmas, velocidad de ventiladores), herramientas eléctricas (para acciones controladas tales como velocidad de motores, cargadores de baterías), equipos para exteriores (aspersores de agua, encendido de motores de gas, pantallas electrónicas...) En fotografía el primer uso del tiristor, se dio en los flash electronicos, en los años 80. Antes de esto, cuando se disparaba un flash, este botaba toda la carga acumulada, necesitando 10 o más segundos para recargar completamente. Cuando se usaban combinados con el modo automático de exposición, el dispositivo solo ocupa la proporción de carga que necesita para esa exposición, lo que permitió acelerar increíblemente los tiempos de recarga. En la actualidad estos flash permiten disparar 3 o 4 veces por segundo, además de hacerlo con una gran precisión en la cantidad de luz emitida.

Page 50: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

0

1.3.2.3.1. SCR

El rectificador controlado de silicio (en inglés SCR: Silicon Controlled Rectifier) es un tipo de tiristor formado por cuatro capas de material semiconductor con estructura PNPN o bien NPNP. El nombre proviene de la unión de Tiratrón (tyratron) y Transistor.

Un SCR posee tres conexiones: ánodo, cátodo y gate (puerta). La puerta es la encargada de controlar el paso de corriente entre el ánodo y el cátodo. Funciona básicamente como un diodo rectificador controlado, permitiendo circular la corriente en un solo sentido. Mientras no se aplique ninguna tensión en la puerta del SCR no se inicia la conducción y en el instante en que se aplique dicha tensión, el tiristor comienza a conducir. Trabajando en corriente alterna el SCR se desexcita en cada alternancia o semiciclo. Trabajando en corriente continua, se necesita un circuito de bloqueo forzado, o bien interrumpir el circuito. El pulso de conmutación ha de ser de una duración considerable, o bien, repetitivo si se está trabajando en corriente alterna. En este último caso, según se atrase o adelante el pulso de disparo, se controla el punto (o la fase) en el que la corriente pasa a la carga. Una vez arrancado, podemos anular la tensión de puerta y el tiristor continuará conduciendo hasta que la corriente de carga disminuya por debajo de la corriente de mantenimiento (en la práctica, cuando la onda senoidal cruza por cero) Cuando se produce una variación brusca de tensión entre ánodo y cátodo de un tiristor, éste puede dispararse y entrar en conducción aún sin corriente de puerta. Por ello se da como característica la tasa máxima de subida de tensión que permite mantener bloqueado el SCR. Este efecto se produce debido al condensador parásito existente entre la puerta y el ánodo. Los SCR se utilizan en aplicaciones de electrónica de potencia, en el campo del control, especialmente control de motores, debido a que puede ser usado como interruptor de tipo electrónico.

Page 51: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

1

1.3.2.3.2. SCS

1.3.2.3.3. DIAC

El DIAC (Diodo para Corriente Alterna) es un dispositivo semiconductor de dos conexiones. Es un diodobidireccional disparable que conduce la corriente sólo tras haberse superado su tensión de disparo, y mientras la corriente circulante no sea inferior al valor característico para ese dispositivo. El comportamiento es fundamentalmente el mismo para ambas direcciones de la corriente. La mayoría de los DIAC tienen una tensión de disparo de alrededor de 30 V. En este sentido, su comportamiento es similar a una lámpara de neón. Los DIAC son una clase de tiristor, y se usan normalmente para disparar los triac, otra clase de tiristor. Es un dispositivo semiconductor de dos terminales, llamados ánodo y cátodo. Actúa como un interruptor bidireccional el cual se activa cuando el voltaje entre sus terminales alcanza el voltaje de ruptura, dicho voltaje puede estar entre 20 y 36 volts según la referencia.

DIAC de tres capas

Existen dos tipos de DIAC:

DIAC de tres capas: Es similar a un transistor bipolar sin conexión de base y con las regiones de colector y emisor iguales y muy dopadas. El dispositivo permanece bloqueado hasta que se alcanza la tensión de avalancha en la unión del colector. Esto inyecta corriente en la base que vuelve el transistor conductor, produciéndose un efecto regenerativo. Al ser un dispositivo simétrico, funciona igual en ambas polaridades, intercambiando el emisor y colector sus funciones.

DIAC de cuatro capas. Consiste en dos diodos Shockley conectados en antiparalelo, lo que le da la característica bidireccional.

1.3.2.3.4. TRIAC

Un TRIAC o Triodo para Corriente Alterna es un dispositivo semiconductor, de la familia de los tiristores. La diferencia con un tiristor convencional es que éste es unidireccional y el TRIAC es bidireccional. De forma coloquial podría decirse que el TRIAC es un interruptor capaz de conmutar la corriente alterna. Su estructura interna se asemeja en cierto modo a la disposición que formarían dos SCR en direcciones opuestas.

Page 52: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

2

Posee tres electrodos: A1, A2 (en este caso pierden la denominación de ánodo y cátodo) y puerta. El disparo del TRIAC se realiza aplicando una corriente al electrodo puerta.

Aplicaciones más comunes Su versatilidad lo hace ideal para el control de corrientes alternas.

Una de ellas es su utilización como interruptor estático ofreciendo muchas ventajas sobre los interruptores mecánicos convencionales y los relés.

Funciona como interruptor electrónico y también a pila.

Se utilizan TRIACs de baja potencia en muchas aplicaciones como atenuadores de luz, controles de velocidad para motores eléctricos, y en los sistemas de control computarizado de muchos elementos caseros. No obstante, cuando se utiliza con cargas inductivas como motores eléctricos, se deben tomar las precauciones necesarias para asegurarse que el TRIAC se apaga correctamente al final de cada semiciclo de la onda de Corriente alterna.

Debido a su poca estabilidad en la actualidad su uso es muy reducido.

Page 53: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

3

1.3.3. Técnicas de diseño con semiconductores

SUGERENCIA DE ESTUDIO: https://www.youtube.com/watch?v=ymYUhDAxTXo https://www.youtube.com/watch?v=Q6LfFX6OlmM https://www.youtube.com/watch?v=pLYxsjDC9WE

1.3.4. Aplicaciones con semiconductores

1.3.4.1. Rectificadores

Un rectificador es un dispositivo eléctrico que convierte la corriente alterna, que invierte periódicamente dirección, a la corriente directa, que fluye en una sola dirección. El proceso se conoce como rectificación. Físicamente, los rectificadores de adoptar una serie de formas, incluyendo diodos de tubo de vacío, válvulas de arco de mercurio, diodos de estado sólido, rectificadores controlados de silicio y otros interruptores semiconductores a base de silicio. Históricamente, se han utilizado interruptores electromecánicos incluso síncronos y motores. Los primeros receptores de radio, llamados radios de cristal, utilizan un "bigote de gato" de alambre fino presionando sobre un cristal de galena para servir como un rectificador de contacto puntual o "detector de cristal". Rectificadores tienen muchos usos, pero a menudo se encuentran sirviendo como componentes de las fuentes de alimentación de CC y sistemas de transmisión de energía de corriente continua de alta tensión. Rectificación puede servir en otros papeles que para generar corriente continua para su uso como una fuente de poder. Como se ha indicado, los detectores de señales de radio sirven como rectificadores. En los sistemas de calefacción de gas de rectificación de llama se utiliza para detectar la presencia de la llama. El simple proceso de rectificación produce un tipo de DC caracterizado por tensiones y corrientes pulsantes. Dependiendo del tipo de uso final, este tipo de corriente de CC a continuación, puede ser modificada aún más en el tipo de CC relativamente constante tensión característicamente producida por fuentes tales como baterías y células solares. Un dispositivo que realiza la función opuesta se conoce como un inversor.

Dispositivos rectificador Antes del desarrollo de semiconductores de silicio rectificadores, se utilizaron diodos de tubo de vacío y de óxido de cobre o pilas rectificador de selenio. Con la introducción de la electrónica de semiconductores, rectificadores de tubo de vacío se volvieron obsoletas, a excepción de algunos entusiastas del equipo de audio del tubo de vacío. Por rectificación de alimentación de muy baja a muy alta corriente, diodos semiconductores de diversos tipos son ampliamente utilizados. Otros dispositivos que tienen electrodos de control, así como de actuar como se utilizan válvulas de corriente unidireccional donde se requiere más que una simple rectificación, por ejemplo, cuando se necesita tensión de salida variable. Rectificadores de alta potencia, tales como los utilizados en la transmisión de energía de corriente continua de alto voltaje, emplean dispositivos semiconductores de silicio de diversos tipos. Estos son tiristores u otros interruptores de conmutación de estado sólido controlados que funcionan efectivamente como diodos de paso de la corriente en una sola dirección.

Circuitos rectificadores Circuitos rectificadores pueden ser de una sola fase o de múltiples fases. Rectificadores de potencia más bajos para los equipos domésticos son de una sola fase, pero rectificación trifásica es muy importante para las aplicaciones industriales y para la transmisión de la energía como DC.

Rectificadores monofásicos Rectificación de media onda En medio de rectificación de onda de una alimentación monofásica, o bien la mitad positiva o negativa de la onda de CA se pasa, mientras que la otra mitad está bloqueado. Debido a que sólo una mitad de la forma de

Page 54: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

4

onda de entrada alcanza la salida, voltaje de la media es menor. Rectificación de media onda requiere un solo diodo en un suministro monofásico, o tres en una red trifásica. Producen un rectificadores unidireccional pero pulsante de corriente directa; rectificadores de media onda producen mucho más rizado de rectificadores de onda completa, y se necesita mucho más filtrado para eliminar los armónicos de la frecuencia de la CA de la salida. El voltaje de salida DC sin carga de un rectificador de media onda ideal es: Dónde: Vdc, Vav - la CC o voltaje promedio de salida, Vpeak - el valor máximo de la fase de entrada de voltajes Vrms - el valor de la raíz cuadrada de la media de la tensión de salida. p = 3,14159 ~ Un verdadero rectificador tendrá una característica que cae por parte de la tensión de entrada, y en las frecuencias altas se distorsionará formas de onda en otras formas, a diferencia de un rectificador ideal, que se disipará la energía.

Rectificación de onda completa Un rectificador de onda completa convierte la totalidad de la forma de onda de entrada a uno de polaridad constante en su salida. Rectificación de onda completa convierte ambas polaridades de la forma de onda de entrada a DC, y produce una tensión de salida media más alta. Dos diodos y un transformador con derivación central, o se necesitan cuatro diodos en una configuración de puente y cualquier fuente de CA,. Diodos semiconductores, diodos individuales dobles con cátodo común o ánodo común y puentes de cuatro diodos, se fabrican como componentes individuales. Para monofásica AC, si el transformador está con toma central, a continuación, dos diodos espalda con espalda pueden formar un rectificador de onda completa. El doble de muchas vueltas se requieren en el secundario del transformador para obtener la misma tensión de salida de un rectificador de puente, pero la potencia no se modifica. Los medios y la salida sin carga raíz cuadrada media tensión de un rectificador de onda completa monofásico ideales son: Un tubo muy común rectificador de doble diodo contenía un solo cátodo común y dos ánodos dentro de un solo sobre, el logro de rectificación de onda completa con salida positiva. El 5U4 y 5Y3 eran ejemplos populares de esta configuración.

Page 55: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

5

1.3.4.2. Amplificadores

Cuando un sistema está compuesto por más de una etapa de transistores, es necesario conectar, o acoplar, los transistores entre sí. Existen muchas formas comunes de lograr esta interpretación entre amplificadores. En las siguientes secciones se analizan los acoplamientos directo, capacitivo, por transformador y óptico. Acoplamiento directo

Dos amplificadores están acoplar es directamente si la salida del primer amplificador se conecta en forma directa a la entrada del segundo sin utilizar capacitores. La salida en ca de la primera etapa está superpuesta con el nivel de cd estático de la segunda etapa. El nivel de cd de la salida de la etapa anterior se suma al nivel de cd de polarización de la segunda etapa. Para compensar los cambios en los niveles de polarización, en amplificador utiliza diferentes valores de fuentes de tensión de cd en lugar de una fuente de Vcc sencilla. El acoplamiento directo se pueden utilizar de manera efectiva al acoplar en amplificador EC a uno ES. El amplificador acoplado directamente tiene una buena respuesta en frecuencias pues no existen elementos de almacenamiento en serie (es decir, sensibles a la frecuencia) que afecten la señal de salida en baja frecuencia.

Acoplamiento capacitivo

Constituye la forma más simple y efectiva de desacoplar los efectos del nivel de cd de la primera etapa amplificador, de aquellos de la segunda etapa. En capacitor separa el componente de cd de la señal de ca. Por tanto, la etapa anterior no afecta la polarización de la siguiente. Para asegurar que la señal no cambie de manera significativa por la adición de un capacitor, es necesario que esté se comporte como cortocircuito para todas las frecuencias a amplificar.

Acoplamiento por transformador

Se puede utilizar un transformador para acoplar dos etapas del amplificador. Este tipo de acoplamiento se utiliza a menudo cuando se amplifican señales de alta frecuencia. Los transformaciones son más costosos que los capacitores, aunque sus ventajas pueden justificar el costo adicional. A través de una elección adecuada de la razón de vueltas, se puede utilizar un transformador para aumentar ya sea la ganancia de tensión o bien la de corriente fondo. Por ejemplo, encina etapa de salida de el amplificador vez potencia, en transformador se utiliza para aumentar la ganancia de corriente. Existen otros beneficios asociados con el uso de un transformador. Por ejemplo, el transformador se puede sintonizar para resonar de manera que se convierta en un filtro pasa-banda (filtro que pasa las frecuencias deseadas y atenúa las frecuencias que quedan fuera de la banda requerida).

Acoplamiento óptico

Muchas aplicaciones requieren el acoplamiento óptico de circuitos electrónicos. Estas aplicaciones se pueden clasificar como sigue: - dispositivos sensibles a la luz y emisores de luz. - detectores y emisores discretos para sistemas de fibra óptica. - módulos interruptor/ reflector que detectan objetos que modifican la trayectoria de la luz. - aisladores /acopladores que transmiten señales eléctricas sin conexiones eléctricas.

Page 56: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

6

1.3.4.3. Conmutadores

Conmutador por barrera

Este circuito detecta presencia al ser interrumpido el haz de luz que cae sobre la célula LDR, el potenciómetro de 10 kΩ se usa para la sensibilidad necesaria de activación, La impedancia del relé no debe ser inferior a 60 Ω. Funcionamiento: Al no recibir luz la fotorresistencia LDR, el transistor se satura y activa el relé. Alimentación :

V max: simple 6V DC

I max: 0.1A

Conmutador por contacto

Page 57: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

7

Conmutador por contacto basado en transistores Mosfet. Alimentación :

V max: simple 12V DC

I max: 0.1ª Componentes:

R1 10 MΩ C1 10 µF Q1 HEP801

R2 56 kΩ Q2 HEP50

R3 100 kΩ Q3 HEP51

R4 470 Ω

Conmutador por falta de luz

Este circuito es un interruptor que se activa por falta de luz en un LDR. Alimentación :

V max: simple 12V DC

I max: 0.1ª Componentes:

LDR cualquier modelo IC1 MOC3021 TR1 TRD226D

Conmutador por luz

El funcionamiento es mas que simple, cuando la resistencia del fototransistor supera los 680 kΩ las entradas de la puerta permanecen en estado bajo, estando su salida en estado alto (por ser inversora). Las otras puertas vuelven a

Page 58: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

8

invertir el estado quedando bajo. Al estar baja la base del transistor la carga que se conecte permanece apagada. Mientras mas se ilumine el foto transistor menor será su resistencia y cuando esta supere los 680 kΩ la puerta quedará con sus entradas en alto, quedando baja su salida y por ende alta la base del transistor, lo que acciona la carga. Alimentación :

V max: simple 12V DC

I max: 0.1A

Conmutador por ruido

Interruptor accionado por ruido. Los contactos del rele se pueden utilizar para excitar cualquier carga, siempre que no se exceda los parámetros del rele. Alimentación:

V max: simple 12V DC

I max: 0.1A Componentes:

R1 1.5 MΩ C1 100 µF D1 1N4007

R3 3.9 kΩ C2 1 µF Q1 HEP801

R4 150 kΩ C3 10 µF Q2 HEP50

R5 18 kΩ C4 10 µF

R6 560 Ω C5 1 µF

R8 10 kΩ C6 1 µF

R9 1 kΩ

P1 5 kΩ potenciómetro

Page 59: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

5

9

Control remoto de infrarrojos codificado

Estos dos circuitos (emisor y receptor) permiten accionar a distancia y sin cables una determinada carga o artefacto y con un alto grado de seguridad.

El emisor (o mando a distancia) esta formado por un circuito integrado codificador el cual lee 10 líneas de entrada y dependiendo del estado que presenten estas líneas será el código emitido. Luego, un transistor hace las veces de amplificador haciendo que la señal codificada a emitir accione el LED infrarrojo el cual irradia la señal hasta el receptor en forma de luz invisible al ojo humano. El circuito emisor se alimenta con 6V que pueden provenir de cuatro pilas tipo AAA. El LED con su respectiva resistencia limitadora de corriente se dispuso para acusar correcto funcionamiento de las pilas. En tanto el diodo emisor infrarrojo deje sobresalir de la caja a fin de permitir las irradiaciones hacia el receptor. Cada entrada de codificación admite tres posibles estados: ALTO (a positivo), BAJO (a masa) o INDETERMINADO (sin conexión). De esta forma y tomando en cuenta que hay un par de combinaciones que no están permitidas obtendremos un sistema de codificación con 59.047 posibilidades, las cuales serán mas que suficientes para la mayoría de las aplicaciones. El condensador de 10µF impide que posibles falsos contactos del pulsador afecten el funcionamineto del emisor.

El receptor utiliza el mismo circuito integrado, en este caso las salidas en vez de actuar sobre un emisor IR accionan un relé por medio de un transistor driver. El circuito integrado CA3140 es un amplificador operacional el cual hace las veces de preamplificador de recepción. Este hace que las señales captadas por el fototransistor infrarrojo sean amplificadas y enviadas al transistor BC548, el cual las acondiciona para poder ser descifradas por el integrado TEA5500. El potenciómetro de medio mega permite regular la sensibilidad del sistema receptor. El integrado compara el código recibido con el establecido en sus entradas y, de ser el mismo actúa sobre las salidas. Pero de no ser el mismo se dispara un mecanismo de seguridad que impide decodificar otro código por un lapso de tiempo prudencial. Este mecanismo se acciona solo cuando un código diferente es recibido TRES VECES. Funcionando como receptor el integrado actúa sobre cada una de sus salidas (pines 3 y 4) alternativamente. Esto quiere decir que si un código válido es recibido inicialmente se accionará por un tiempo la salida 3. Al siguiente código válido se accionará la salida 4. Y así indeterminadas veces.

Page 60: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

0

En nuestro caso, y al unir ambas salidas, el efecto será que cada vez que se accione sobre el mando el relé accionará. Pero se pueden colocar dos transistores y dos relés para hacer un sistema de dos canales de salida (pero solo uno de mando). El circuito receptor también se alimenta con 6V los cuales pueden provenir de una batería así como de una fuente de continua. Recordar que la bobina del relé debe ser de esta tensión. Un detalle curioso que hay que tener en cuenta es que el código emitido es recibido en forma invertida. Esto quiere decir que, cuando el receptor vaya comparando el código recibido con el que tiene seteado en sus entradas lo hará cruzado.

EMISOR E1 E2 E3 E4 E5 E6 E7 E8 E9 E10

RECEPTOR E10 E9 E8 E7 E6 E5 E4 E3 E2 E1

En esta tabla se aprecia bien el mecanismo empleado. Esto significa que cuando se establezca el código en el emisor, en el receptor deberá hacerse en dirección opuesta, partiendo de la entrada contraria. Pero esto no es todo, además, los estados lógicos tampoco se corresponden de emisor a receptor. Basta con observar la tabla de abajo para comprenderlo:

EMISOR RECEPTOR

Abierto Bajo (masa)

Bajo (masa) Abierto

Alto (V+) Alto (V+)

Aquí se sobre entiende que cuando una entrada en el emisor se deja sin conectar la opuesta del lado receptor deberá ponerse a masa. O, si del lado del emisor se la conecta a masa deberá dejarse sin conectar su opuesta e el receptor. En tanto el estado alto no presenta cambio alguno. Como si esto no fuese mucho tenemos además dos posibles combinaciones de código prohibidas. Estas son: * Todas las entradas a nivel alto * Las entradas de E1 a E9 en alto y E10 en bajo Siguiendo estas reglas que son bien confusas podríamos llegar a deducir que la siguiente codificación del lado emisor y receptor sería válida:

ENTRADAS E1 E2 E3 E4 E5 E6 E7 E8 E9 E10

EMISOR H X H H X H L X L L

RECEPTOR X X L X H L H H L H

Aquí una H significa estado alto (HIGH), una L estado bajo (LOW) y una X sin conectar a ningún lado. Dado que el uso de interruptores DIP de tres posiciones además de costoso se tornaría incómodo se recomienda hacer puentes de alambre entre los terminales, masa y tensión.

1.3.4.4. Fuentes de voltaje

Regulador de transistor con diodo zener. Como los diodos zener presentan una zona de ruptura típica a una determinada tensión inversa ( Vz ) .- Si se utiliza esta propiedad que corresponde a una fuente ideal de voltaje para entregar una tensión constante o estabilizada a una carga que presenta como característica un consumo variable, para su funcionamiento.- También este dispositivo debe resguardar las posibles fluctuaciones o variaciones de la tensión ondulatoria residual de entrada.

Page 61: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

1

Este circuito es el más sencillo de los reguladores y es el de alimentación de potencia regulada, que está hecho a base de diodo zener, como se muestra en la figura de arriba. Al analizar el circuito regulador de tensión con diodo zener (figura de arriba) , la tensión en la carga permanece aproximadamente constante , igual a la tensión nominal del diodo zener Vz, aunque varíe la tensión de entrada Vi o la corriente a través de la resistencia de carga RL, sobre un rango amplio. Si Vz es constante, el valor de IL depende exclusivamente del valor de RL. Para el caso en que IT se mantenga constante, si IL aumenta , Iz debe disminuir de modo que siempre se cumpla que IT = Iz x IL. Por otro lado si IL disminuye , Iz debe aumentar , para el caso que todavía IT sea constante.- El caso más desfavorable para el Zener sería cuando IL = 0 ya que IT = Iz y la potencia que debería disipar el zener sería máxima.-Por otra parte si la corriente IL se aproxima o se iguala con IT , la corriente Iz se hace muy pequeña , pudiendo sacar al diodo zener de la tensión de ruptura o de regulación dejando de operar este.- Para el caso anterior , las variaciones de la corriente zener Iz pueden ser tal que el diodo deje de operar , o que circule excesiva corriente a través de el y se destruya.- Para este efecto se determina una corriente Máxima ( I.Máx.) que mantiene la tensión zener sin destruir el diodo , con la cual se puede calcular la potencia máxima.- También se determina una corriente Mínima ( I. Mín ) para mantener la tensión zener.- Resumiendo una fuente de tensión , estabilizadora puede ser afectada por la demanda de corriente ( 0 < IL < I. Máx ) o por la variación de la tensión de entrada ( Vi . Mín. < Vi < Vi. Máx. ) , las que afectan la fuente de forma extrema de dos formas : 1.- La fuente deja de regular.- 2.- Queman el diodo zener.- Naturalmente que al especificar el tipo de fuente , se conoce la corriente de carga máxima, ( IL Máx ) , como también se puede especificar la tensión de entrada Vi con su rango de variación.- Esto nos permite determinar el valor de Rs , ( resistencia que asume las variaciones de tensión de entrada ) , de manera tal que cumpla con el criterio de : 1.- Mantener la regulación de tensión en el peor de los casos.- 2.- Especificar requisitos que debe cumplir el diodo zener .- Por tanto la condición que debe cumplir el circuito para que exista regulación en las condiciones más críticas ( IL. Máx. ) ( Vi . Mín ) es que permita pasar una corriente total IT tal que mantenga el diodo zener regulando.- Por otra parte , la condición que debe cumplir el circuito para que el diodo zener no se queme cuando se tienen las condiciones críticas que afectan a este , IL =0 y Vi = Máx. , es que la corriente total IT sea menor o igual a la corriente máxima que soporta el diodo ( Iz. Máx. ) .- Regulador serie con transistor. Análisis funcional.- El más utilizado de los de los reguladores de tensión , es el regulador tipo serie.- El transistor es la etapa de control y RL es la carga. El diodo zener alimentado a través de R y del transistor y su corriente de base , suministra una tensión constante de referencia aplicada al base del transistor.- Al aumentar la corriente consumida por la carga IL , por cualquier razón , la tensión VL sube e incrementa el valor de VBE llevando el emisor a un potencial más positivo con respecto a base . Por tanto la polaridad directa base – emisor se reduce y la corriente de colector disminuye, disminuyendo así la corriente de carga IL.- Se ve que a un aumento de la corriente IL , corresponde un efecto de control que disminuye el valor de IL.. Existe entonces un efecto de compensación o de regulación.-

Page 62: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

2

El circuito detector de error , en este regulador , actúa por la característica base – emisor del circuito, y el mismo transistor hace las veces de amplificador.- Este circuito dividido en bloques, presenta : "etapa de control " ;"etapa de muestreo" ; "detector de error" ; " amplificador de error" Una fuente de tensión regulada , utiliza normalmente un circuito automático de control, que detecta , prácticamente de un modo instantáneo las variaciones de tensión y las corrige automáticamente .- En general un sistema de control requiere de las siguientes etapas : 1.- ETAPA DE REFERENCIA : Para determinar si una magnitud ha variado se precisa de una referencia , que deberá ser lo más estable posible .- ( batería , diodo zener.).- 2.- ETAPA DE MUESTREO : Su misión es detectar las variaciones de tensión que se producen en la salida . ( divisor de tensión.- ).- 3.- ETAPA COMPARADORA: Su finalidad es comparar , en todo momento , las tensiones de referencia con las de muestra , que pretendemos controlar.- ( amplificador operacional , amplificador diferencial.-).- 4.- ETAPA AMPLIFICADORA DE ERROR : La tensión de error que no es más que la diferencia entre la muestra y la referencia , puede presentar un nivel de tensión pequeño que no sea capas de accionar la etapa de control.- En este caso se debe de amplificar.- ( amplificador transistorizado.- ) 5.- ETAPA DE CONTROL : Como su nombre lo indica controla las variaciones de tensión , contrarresta las variaciones producidas en la salida .- ( transistor en zona lineal .- ).- Asume las variaciones de tensión producidas por efecto de la carga o por efecto de la línea o red.- Principio de funcionamiento : Una fracción de la tensión de salida % Vo , es comparada con la tensión de referencia Vr.-si la tensión de muestra Vm es igual a la tensión de referencia Vr la etapa de Control no actúa.- Si la tensión de muestra Vm es menor que la tensión de referencia Vr , el elemento de control debe reducir su caída de tensión en sus extremos.- Si la tensión de muestra Vm es mayor que la tensión de referencia Vr , la caida de tensión en el elemento de control ha de aumentar .- El circuito de control , generalmente es un transistor con colector común o emisor común.- El circuito de referencia de tensión requiere de una fuente constante actuando como tensión de referencia , que para este caso es un diodo zener.- El amplificador , es un bloque que también lo realiza el mismo transistor y amplifica lo que se conoce como tensión de error , donde dicha tensión controla la base del transistor y lo hace conducir más o menos .- Para este caso el transistor de control , asume las variaciones de tensión que se pueden provocar por la tensión de línea o por el consumo de corriente de la carga.- La regulación lograda por este circuito es pobre y se puede incrementar aumentando la ganancia del amplificador de error o realimentando el circuito.-

Page 63: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

3

1.3. Amplificadores operacionales

1.4.1. Configuraciones Se trata de un dispositivo electrónico (normalmente se presenta como circuito integrado) que tiene dos entradas y una salida. La salida es la diferencia de las dos entradas multiplicada por un factor (G) (ganancia): Vout = G·(V+ − V−) el más conocido y comúnmente aplicado es el UA741 o LM741. El primer amplificador operacional monolítico, que data de los años 1960, fue el Fairchild μA702 (1964), diseñado por Bob Widlar. Le siguió el Fairchild μA709 (1965), también de Widlar, y que constituyó un gran éxito comercial. Más tarde sería sustituido por el popular Fairchild μA741 (1968), de David Fullagar, y fabricado por numerosas empresas, basado en tecnología bipolar. Originalmente los A.O. se empleaban para operaciones matemáticas (suma, resta, multiplicación, división, integración,derivación, etc.) en calculadoras analógicas. De ahí su nombre. El A.O. ideal tiene una ganancia infinita, una impedancia de entrada infinita, un ancho de banda también infinito, una impedancia de salida nula, un tiempo de respuesta nulo y ningún ruido. Como la impedancia de entrada es infinita también se dice que las corrientes de entrada son cero. El símbolo de un amplificador es el mostrado en la siguiente figura:

Los terminales son:

V+: entrada no inversora

V-: entrada inversora

VOUT: salida

VS+: alimentación positiva

VS-: alimentación negativa Los terminales de alimentación pueden recibir diferentes nombres, por ejemplos en los A.O. basados en FET VDD y VSS respectivamente. Para los basados en BJTson VCC y VEE. Habitualmente los pines de alimentación son omitidos en los diagramas eléctricos por claridad.

Page 64: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

4

1.4.1.1. Seguidor unitario

Es aquel circuito que proporciona a la salida la misma tensión que a la entrada.

Se usa como un buffer, para eliminar efectos de carga o para adaptar impedancias (conectar un

dispositivo con gran impedancia a otro con baja impedancia y viceversa)

Como la tensión en las dos patillas de entradas es igual: Vout = Vin

Zin = ∞ Presenta la ventaja de que la impedancia de entrada es elevadísima, la de salida prácticamente nula, y puede ser útil, por ejemplo, para poder leer la tensión de un sensor con una intensidad muy pequeña que no afecte apenas a la medición. De hecho, es un circuito muy recomendado para realizar medidas de tensión lo más exactas posibles, pues al medir la tensión del sensor, la corriente pasa tanto por el sensor como por el voltímetro y la tensión a la entrada del voltímetro dependerá de la relación entre la resistencia del voltímetro y la resistencia del resto del conjunto formado por sensor, cableado y conexiones. Por ejemplo, si la resistencia interna del voltímetro es Re (entrada del amplificador), la resistencia de la línea de cableado es Rl y la resistencia interna del sensor es Rg, entonces la relación entre la tensión medida por el voltímetro (Ve) y la tensión generada por el sensor (Vg) será la correspondiente a este divisor de tensión:

Por ello, si la resistencia de entrada del amplificador es mucho mayor que la del resto del conjunto, la tensión a la entrada del amplificador será prácticamente la misma que la generada por el sensor y se podrá despreciar la caída de tensión en el sensor y el cableado.

Además, cuanto mayor sea la intensidad que circula por el sensor, mayor será el calentamiento del sensor y del resto del circuito por efecto Joule, lo cual puede afectar a la relación entre la tensión generada por el sensor y la magnitud medida.

1.4.1.2. Comparador Estudiemos el siguiente circuito:

En este circuito, se alimenta el amplificador operacional con dos tensiones +Vcc = 15V y -Vcc = -15 V. Se conecta la patilla V+ del amplificador a masa (tierra) para que sirva como tensión de referencia, en este caso 0 V. A la entrada V- del amplificador se conecta una fuente de tensión (Vi) variable en el tiempo, en este caso es una tensión sinusoidal. Hay que hacer notar que la tensión de referencia no tiene por qué estar en la entrada V+, también puede conectarse a la patilla V-, en este caso, se conectaría la tensión que queremos comparar con respecto a la tensión de referencia, a la entrada V+ del amplificador operacional.

Page 65: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

5

A la salida (Vo) del amplificador operacional puede haber únicamente dos niveles de tensión que son en este caso 15 o -15 V (considerando el AO como ideal, si fuese real las tensiones de salida serían algo menores).

Cuando la tensión sinusoidal Vi toma valores positivos, el amplificador operacional se satura a negativo; esto significa que como la tensión es mayor en la entrada V- que en la entrada V+, el amplificador entrega a su salida una tensión negativa de -15 V.

1.4.1.3. Multiplicador

Page 66: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

6

1.4.1.4. Sumador INVERSOR

La salida está invertida

Para resistencias independientes R1, R2,... Rn

La expresión se simplifica bastante si se usan resistencias del mismo valor

Impedancias de entrada: Zn = Rn

1.4.1.5. Restador INVERSOR

Para resistencias independientes R1,R2,R3,R4:

Igual que antes esta expresión puede simplificarse con resistencias iguales

La impedancia diferencial entre dos entradas es Zin = R1 + R2 + Rin, donde Rin representa la resistencia de entrada diferencial del amplificador, ignorando las resistencias de entrada del amplificador de modo común.

Cabe destacar que este tipo de configuración tiene una resistencia de entrada baja en comparación con otro tipo de restadores como por ejemplo elamplificador de instrumentación.

Page 67: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

7

1.4.1.6. Integrador

Integra e invierte la señal (Vin y Vout son funciones dependientes del tiempo)

Vinicial es la tensión de salida en el origen de tiempos

Nota: El integrador no se usa en la práctica de forma discreta ya que cualquier señal pequeña de DC en la entrada puede ser acumulada en el condensador hasta saturarlo por completo; sin mencionar la característica de offset del mismo operacional, que también es acumulada. Este circuito se usa de forma combinada en sistemas retroalimentados que son modelos basados en variables de estado (valores que definen el estado actual del sistema) donde el integrador conserva una variable de estado en el voltaje de su condensador.

1.4.1.7. Derivador

Deriva e invierte la señal respecto al tiempo

Page 68: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

8

Este circuito también se usa como filtro NOTA: Es un circuito que no se utiliza en la práctica porque no es estable. Esto se debe a que al amplificar más las señales de alta frecuencia se termina amplificando mucho el ruido.

1.4.1.8. No inversor

Como observamos, la tensión de entrada, se aplica al pin positivo, pero como conocemos que la ganancia del amplificador operacional es muy grande, el voltaje en el pin positivo es igual al voltaje en el pin negativo y positivo, conociendo el voltaje en el pin negativo podemos calcular la relación que existe entre el voltaje de salida con el voltaje de entrada haciendo uso de un pequeño divisor de tensión.

Zin = ∞, lo cual nos supone una ventaja frente al amplificador inversor.

1.4.2. Aplicaciones

Calculadoras analógicas

Filtros

Preamplificadores y buffers de audio y video

Reguladores

Conversores

Evitar el efecto de carga

Adaptadores de niveles (por ejemplo CMOS y TTL)

Page 69: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

6

9

Convertidor Digital-Analógico (R-2R)

Cualquiera de las entradas ve una

Si entonces

Si entonces

Page 70: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

0

UNIDAD 2 Electrónica digital

2.1 Tablas de verdad y compuertas lógicas Compuertas lógicas y tablas de verdad

Una manera generalizada de representar las funciones lógicas es el uso de símbolos

o bloques lógicos denominados puertas o compuertas lógicas.

Estas puertas en general representan bloques funcionales que reciben un conjunto de

entradas (variables independientes)y producen una salida (variabledependiente) como se muestra en la figura siguiente: AB

Puerta Lógica

Y=F(A,B,C,..,Z)

C Y Z

Una de las ventajas de usar éstos símbolos es que por ser una representación de entrada salida permiten la

“interconexión” de puertas (la salida de unacon la entrada de otra) para representar funciones más complejas a

partir defunciones sencillas.

Otra ventaja es el hecho de que los bloques sencillos (puertas con pocasentradas) se encuentran disponibles e

n circuitos integrados comerciales, deaquí que un diagrama de puertas lógicas corresponde directamente a un

diagramade alambrado de circuito lógico.

Page 71: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

1

2.1.1 NOT, OR y AND

2.1.2 Otras (NOR, NAND, XOR, etc.)

2.1.3 Expresiones booleanas

Page 72: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

2

Las expresiones booleanas se usan para determinar si un conjunto de una o más condiciones es verdadero o falso, y el resultado de su evaluación es un valor de verdad. Los operandos de una expresión booleana pueden ser cualquiera de los siguientes:

Expresiones relacionales: que comparan dos valores y determinan si existe o no una cierta relación entre ellos (ver más adelante), tal como mfn<10;

Funciones booleanas: tal como p(v24), que regresa un valor de verdad (estos se explican bajo "Funciones booleanas").

Las expresiones relacionales permiten determinar si una relación dada se verifica entre dos valores. La forma general de una expresión relacional es:

expresión-1 operador-de-relación expresión-2, donde:

expresión-1 es una expresión numérica o de cadena

operador-de-relación es uno de los siguientes: o = Igual o <> No igual (diferente de) o < Menor que o <= Menor o igual que o > Mayor que o >= Mayor o igual que o : Contiene (puede ser usado sólo en expresiones de cadena)

expresión-2 es una expresión del mismo tipo que expresión-1, o sea, expresión-1 y expresión-2 deben ser ambas expresiones numéricas o ambas expresiones de cadena.

Los operadores de relación = <> < <= > >= tienen su significado convencional cuando se aplican a expresiones numéricas (dentro de los límites de precisión de los valores numéricos definidos bajo "Expresiones numéricas"). Cuando se comparan expresiones de cadena, se aplican las siguientes reglas:

Page 73: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

3

Excepto por el operador ":" (contiene), las cadenas se comparan exactamente en la forma en que ocurren, o sea, las letras mayúsculas y minúsculas se comparan de acuerdo con el código ASCII que les corresponde (p.ej. A será considerada menor que a);

Dos expresiones de cadena no son consideradas iguales, a menos que tengan la misma longitud. Si dos expresiones generan cadenas de diferente longitud que son idénticas, carácter por carácter, hasta el total de la longitud de la más corta, entonces, la más corta será considerada menor que la más larga.

El operador : (contiene), busca una cadena de caracteres (definida por expresión-2) en otra cadena (definida por expresión-1). Si el segundo operando existe en cualquier parte del segundo operando, el resultado es Verdadero (TRUE). Este operador es insensible al hecho de que los caracteres se hallen en mayúsculas o minúsculas: por lo que las letras minúsculas se consideran iguales a su letra mayúscula correspondiente. Por ejemplo, el resultado de:

v10 : 'química' será Verdadero (True) si, y sólo si, el campo 10 contiene la cadena química. en caso contrario, el resultado será Falso (False). Nótese que el segundo operando puede ser cualquier cadena o carácter, y no necesita ser una palabra como tal. Por lo tanto, en este ejemplo, el resultado será Verdadero no sólo si el campo 10 contiene la palabra química, sino también si contuviera bioquímica, fotoquímicas, químicamente, etc. Los operandos de una expresión booleana pueden combinarse con los operadores siguientes:

NOT (NO) Este operador produce el valor Verdadero, si su operando es Falso; y el valor Falso, si su operando es Verdadero. El operador NOT sólo puede usarse como operador signo +, o sea, siempre se aplica a la expresión booleana que le sigue;

AND (Y) Este operador produce el valor Verdadero si ambos operandos son Verdadero. Si cualquiera de los dos operandos es Falso, entonces el resultado será Falso;

OR (O) Este operador realiza una operación O-inclusivo. El resultado es Verdadero si cualquiera de los dos operandos, o ambos son Verdadero. En caso contrario, es Falso.

Al evaluar expresiones booleanas, y en ausencia de paréntesis, CDS/ISIS ejecutará las operaciones NOT en primer lugar, después las operaciones AND, y finalmente las OR. Las series de dos o más operadores del mismo nivel, se ejecutan de izquierda a derecha. Se pueden usar paréntesis para alterar el orden de evaluación: las expresiones dentro de paréntesis se evalúan antes, y las expresiones entre paréntesis internos a otros, son evaluadas antes que las expresiones externas a los paréntesis.

Page 74: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

4

10 Leyes Básicas del Algebra de Boole ( 1 a 11 ) Algebra de Boole - Aplicaciones

Page 75: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

5

11 ( 12 a 22 ) Algebra de Boole - Aplicaciones

2.2 Diseño de circuitos combinacionales

Se denomina sistema combinacional o lógica combinacional a todo sistema digital en el que sus salidas son función exclusiva del valor de sus entradas en un momento dado, sin que intervengan en ningún caso estados anteriores de las entradas o de las salidas. Las funciones (OR, AND, NAND, XOR) son booleanas (de Boole) donde cada función se puede representar en una tabla de la verdad. Por tanto, carecen de memoria y de retroalimentación. En electrónica digital la lógica combinacional está formada por ecuaciones simples a partir de las operaciones básicas del álgebra de Boole. Entre los circuitos combinacionales clásicos tenemos:

Lógicos

Generador/Detector de paridad

Multiplexor y Demultiplexor

Codificador y Decodificador

Conversor de código

Page 76: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

6

Comparador

Aritméticos

Sumador

Aritméticos y lógicos

Unidad aritmético lógica

Estos circuitos están compuestos únicamente por puertas lógicas interconectadas entre sí.

Todos los circuitos combinacionales pueden representarse empleando álgebra de Boole a partir de su función lógica, generando de forma matemática el funcionamiento del sistema combinacional. De este modo, cada señal de entrada es una variable de la ecuación lógica de salida. Por ejemplo, un sistema combinacional compuesto exclusivamente por unapuerta AND tendría dos entradas A y B. Su

función combinacional seria , para una puerta OR sería . Estas operaciones se pueden combinar formando funciones más complejas.

Esto permite emplear diferentes métodos de simplificación para reducir el número de elementos combinacionales que forman el sistema.

Enunciado del problema

Se desea controlar dos bombas B1 y B2 de acuerdo con el nivel de líquido existente en un depósito. Su funcionamiento es el siguiente:

cuando el nivel de líquido está comprendido entre los dos sensores (depósito con nivel medio), “c” y “d” debe funcionar la bomba B1, o B2 si el sensor de temperatura de la bomba B1, “a” se ha activado. La bomba se parará cuando se supere el valor máximo marcado por el sensor “d”;

si el nivel de agua está por debajo del nivel mínimo marcado por el sensor “c” arrancarán las dos bombas;

en caso de funcionamiento anormal de los sensores de nivel (activado el sensor “d” y no el “c”), las dos bombas se pararán.

Además, ambas bombas poseen sendos sensores de temperatura “a” y “b” para B1 y B2 respectivamente, de forma que cuando la temperatura de alguno de ellos supera el valor marcado por el sensor de temperatura dicha bomba se parará. Implementar dicha función con el mínimo número de puertas NAND.

Page 77: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

7

Solución Para la resolución del problema planteado se supondrá que el nivel lógico “1” corresponde al nivel alto de tensión y el nivel lógico “0” al nivel bajo. Tabla de verdad

c d a b B1 B2

0 0 0 0 1 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 1 0 0 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 0 1 1 1 1 0 0

Para obtener las expresiones lo más simplificadas posible se recurre a los mapas de Karnaugh. Puesto que se implementa con puertas NAND, se utiliza la primera forma canónica.

Page 78: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

8

Bomba B1

c d a b

0 0 0 1 1 1 1 0

0 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 0 1 0 0 0 0 0

Bomba B2

c d a b

0 0 0 1 1 1 1 0

0 0 1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 0 1 0 0 1

Implementación del circuito En el laboratorio se dispone de los módulos Logitronic-3 de Alecop formados por circuitos lógicos de la familia CMOS. Estos módulos poseen puertas NAND de 2 y 4 entradas.

Page 79: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

7

9

Puesto que una entrada al aire para una puerta CMOS no se sabe a que estado lógico corresponde, cuando necesitamos sólo 3 de las entradas disponibles conectamos la que no se utilice a nivel alto (“1” lógico) de modo que la función, en este caso, no se ve afectada. Las salidas (B1 y B2) se conectan a sendos LEDs para indicar visualmente su estado. Al comprobar con un polímetro las tensiones correspondientes a cada uno de los estados lógicos se obtiene que un “1” lógico son 4,24V y un “0” lógico son 0,00V.

2.2.1 Metodología de diseño

2.2.2 Minitérminos y maxitérminos.

2.2.3 Técnicas de simplificación

2.2.3.1 Teoremas y postulados del algebra de Boole

2.2.3.2 Mapas Karnaugh

Un mapa de Karnaugh (también conocido como tabla de Karnaugh o diagrama de Veitch, abreviado como Mapa-Ko Mapa-KV) es un diagrama utilizado para la simplificación de funciones algebraicas Booleanas. El mapa de Karnaugh fue inventado en 1950 por Maurice Karnaugh, un físico y matemático de los laboratorios Bell. Los mapas de Karnaugh reducen la necesidad de hacer cálculos extensos para la simplificación de expresiones booleanas, aprovechando la capacidad del cerebro humano para el reconocimiento de patrones y otras formas de expresión analítica, permitiendo así identificar y eliminar condiciones muy inmensas. El mapa de Karnaugh consiste en una representación bidimensional de la tabla de verdad de la función a simplificar. Puesto que la tabla de verdad de una función de N variables posee 2

N filas, el mapa K

correspondiente debe poseer también 2N cuadrados. Las variables de la expresión son ordenadas en función de

su peso y siguiendo el código Gray, de manera que sólo una de las variables varía entre celdas adyacentes. La transferencia de los términos de la tabla de verdad al mapa de Karnaugh se realiza de forma directa,

Page 80: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

0

albergando un 0 ó un 1, dependiendo del valor que toma la función en cada fila. Las tablas de Karnaugh se pueden utilizar para funciones de hasta 6 variables.

Page 81: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

1

Page 82: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

2

2.2.4 Implementación y aplicación de circuitos

combinacionales

2.3 Lógica secuencial A diferencia de los sistemas combinacionales, en los sistemas secuenciales, los valores de las salidas, en un momento dado, no dependen exclusivamente de los valores de las entradas en dicho momento, sino también dependen del estado anterior o estado interno. El sistema secuencial más simple es el biestable, de los cuales, el de tipo D (o cerrojo) es el más utilizado actualmente. La mayoría de los sistemas secuenciales están gobernados por señales de reloj. A éstos se los denomina "síncronos" o "sincrónicos", a diferencia de los "asíncronos" o "asincrónicos" que son aquellos que no son controlados por señales de reloj. A continuación se indican los principales sistemas secuenciales que pueden encontrarse en forma de circuito integrado o como estructuras en sistemas programados:

Contador

Registros

2.3.1 FLIP-FLOP con compuertas

Introducción

Todos los circuitos digitales utilizan datos binarios para funcionar correctamente, los circuitos están diseñados para contar, sumar, separar, etc. los datos según nuestras necesidades, pero por el tipo de funcionamiento de las compuertas digitales, los datos presentes en las salidas de las mismas, cambian de acuerdo con sus entradas, y no hay manera debitarlo, si las entradas cambian, las salidas lo harán también, entonces ¿Cómo podemos hacer para mantener un dato o serie de datos en un lugar hasta que los necesitemos? La respuesta son las memorias, básicamente son sistemas que pueden almacenar uno o más datos evitando que se pierdan, hasta que nosotros lo consideremos necesario, es decir, pueden variar su contenido a nuestra voluntad. El corazón de una memoria son los Flip Flops, este circuito es una combinación de compuertas lógicas, A diferencia de las características de las compuertas solas, si se unen de cierta manera, estas pueden almacenar datos que podemos manipular con reglas preestablecidas por el circuito mismo. Esta es la representación general par un Flip Flop (comúnmente llamado "FF")

Los FF pueden tener varias entradas, dependiendo del tipo de las funciones internas que realice, y tiene dos salidas:

Page 83: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

3

Las salidas de los FF sólo pueden tener dos estados (binario) y siempre tienen valores contrarios, como podemos ver en la siguiente tabla:

Las entradas de un FF obligan a las salidas a conmutar hacia uno u otro estado o hacer "flip flop" (Término anglosajón), más adelante explicaremos cómo interactúan las entradas con las salidas para lograr los efectos característicos de cada FF.El FF también es conocido como:

"Registro Básico" término utilizado para la forma más sencilla de un FF.

"Multivibrador Biestable" término pocas veces utilizado para describir a un FF.

2.3.2 FLIP-FLOP JK, SR, D

Registro Básico construido con compuertas NAND Este es el circuito más sencillo y básico de un FF, Puede ser construido a partir de dos compuertas NAND o dos compuertas NOR con dos entradas, a continuación se ilustra con compuertas NAND, y es denominado "Registro Básico NAND". La forma de conectarlas es la siguiente: Se deja libre una de las entradas de cada compuerta, las sobrantes son conectadas independientemente de manera cruzada hacia la salida de la compuerta contraria. Quedando la conexión de la siguiente manera:

La siguiente tabla muestra el estado inicial del Registro Básico NAND, cuando sus entradas se encuentran en ALTO (Estado de reposo del FF). Para comenzar la acción de "Flip Flop" será necesario enviar a BAJO alguna de las entradas, con su correspondiente cambio de estado a la salida.

Page 84: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

4

La siguiente tabla nos muestra los diferentes cambios de las salidas, según cada selección de entradas (La "X" significa que no importa el estado en el que se encuentren en ese momento):

Siguiendo los datos de la tabla podemos resumir que:

Si SET y RESET están en ALTO, el FF mantiene sus salidas en el estado actual.

Si RESET recibe un pulso BAJO, las salidas son forzadas a Q = 0 y /Q = 1

Si SET recibe un pulso BAJO, las salidas son forzadas a Q = 1 y /Q = 0

Si las dos entradas reciben pulsos BAJOS, las salidas son forzadas a Q = 1 y /Q = 1 Este último cambio normalmente se considera como no deseado, ya que el principio básico es que las salidas siempre estén invertidas (Aunque en ciertos casos especiales, nosotros podríamos utilizar este efecto).Entonces, la tabla de verdad del Registro Básico NAND es la siguiente:

Page 85: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

5

Registro Básico con compuertas NOR La conexión del Registro Básico NOR es exactamente igual al del Registro NAND, pero los cambios en sus salidas son completamente diferentes, A continuación se ilustran las dos tablas de verdad para hacer el comparativo entre una y otra.Tabla de verdad del Registro Básico NOR

Tabla de verdad del Registro Básico NAND

Agregando pulsadores u otras compuertas en las entradas, los usos más comunes para el Registro Básico NAND o NOR son:

Eliminadores de ruido para pulsadores mecánicos.

Sistemas de Encendido (ON)/Apagado (OFF) con dos pulsadores para diversos circuitos digitales y/o análogos.

Sensores de movimiento mecánico, (Fin o Inicio de carrera de una puerta por ejemplo).

Control Digital de otros circuitos.

Y otras 373929273736 Aplicaciones dependiendo de tu IMAGINACIÓN.

Señales de Reloj (CLOCK) y FF controlados por Reloj Hasta ahora hemos visto que un Registro Básico tiene dos variables de entrada y responde de manera predecible a ellas, pero ¿Qué podíamos hacer si necesitáramos otra variable de control? ¿Cómo podríamos hacer que el registro actúe cuando sea conveniente para nosotros, y no al momento de cambiar sus entradas? Todos los sistemas digitales tienen básicamente dos formas de operación:

Operación en modo ASÍNCRONO. En este modo, las salidas cambian de manera automática siguiendo las órdenes de las entradas.

Operación en modo SÍNCRONO. En este modo, las salidas cambian siguiendo las órdenes de las entradas, pero sólo cuando una señal de control, llamada RELOJ (CLOCK, CLK, CP) es aplicada al registro.

Los circuitos digitales ASÍNCRONOS son muy complicados en lo que a diseño y reparación se refiere, ya que, al encontrarnos con una falla en un circuito de 10 registros interconectados, el rastreo de los cambios en todas las compuertas nos provocaría un severo dolor de cabeza. Los circuitos digitales SÍNCRONOS son más fáciles de diseñar y reparar, debido a que los cambios de las salidas son eventos "esperados" (ya que fácilmente podemos saber el estado de cada una de las entradas o salidas sin que estas cambien repentinamente), y los

Page 86: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

6

cambios dependen del control de una sola señal aplicada a todos los registros, la señal de RELOJ.La señal de reloj es una onda cuadrada o rectangular, los registros que funcionan con esta señal, sólo pueden cambiar cuando la señal de reloj hace una transición, También llamados "flancos", por lo tanto, la señal de reloj sólo puede hacer 2 transiciones (o Flancos):

La Transición con pendiente positiva (TPP) o Flanco positivo (FP).Es cuando la señal de reloj cambia del estado BAJO al estado ALTO.

La Transición con pendiente negativa (TPN) o Flanco Negativo (FN).Es cuando la señal de reloj cambia del estado ALTO al estado BAJO.

Principales características de los FF sincronizados por Reloj.

Todos los FF cuentan con una entrada con el rótulo (RELOJ, CLOCK, CLK, CP) y un distintivo círculo para saber como debe ser la señal activa. Los que no tienen círculo, son sincronizados por una TPP, los que cuentan con un círculo son sincronizados por una TPN.

Todos los FF cuentan con entradas de control, que determinan el cambio que van a tener las salidas, al igual que en los Registros básicos, pero estas entradas no pueden modificar las salidas arbitrariamente, sólo podrán hacerlo cuando el FF reciba su transición activa.

Resumiendo, Las entradas de control del FF nos permiten saber cómo van a cambiar las salidas, pero sólo la señal de Reloj podrá hacer efectivo este cambio.

Constantes de tiempo de Establecimiento y de Retención La siguiente figura nos indica cómo están compuestos los dos detectores de Transiciones.

Detector de Transiciones Positivas (TPP)

Circuito Detector de Transiciones Negativas

Page 87: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

7

Las figuras nos muestran del lado izquierdo de la línea verde el pin de entrada de Reloj del FF, el lado derecho nos muestra el circuito interno del FF.La diferencia entre CK y CK se debe al retraso en la propagación que cualquier compuerta tiene, desde que se aplica una señal en la entrada, hasta que esta se refleja en al salida. Esta diferencia en tiempo, nos permite obtener un pulso de salida solamente cuando ocurre la transición para la que están diseñados, y por lo tanto accionar el FF.

Registro Básico NAND tipo Síncrono Dejaremos de lado la conexión interna de los FF, ya que para nuestra comodidad, todos podemos encontrarlos en forma de circuitos integrados, así que nos ocuparemos solamente de su funcionamiento.La siguiente figura nos muestra un Registro Básico Sincronizado por una señal de reloj. Es la forma más básica de un FF controlado por la señal de reloj. (La falta del círculo en la entrada de CLK significa que sólo será activa en los TPP) (Sólo se muestra la salida Normal (Q), ya que como dijimos, la salida negada siempre es inversa)

Flip Flop tipo "J-K" Este FF es uno de los más usados en los circuitos digitales, y de hecho es parte fundamental de muchos circuitos avanzados como contadores y registros de corrimiento, que ya vienen integrados en un chip.Este FF cuenta con dos entradas de datos J y K, su función es en principio la misma que el Registro básico NAND o NOR, pero con la diferencia que la condición en las entradas J = 1, K = 1, a diferencia del Registro NAND, que generaría una salida errónea o no deseada, en un FF J-K, obliga a las salidas a conmutar su estado al opuesto (Toggle) a cada pulso del reloj. Esto lo convierte en un tipo de FF muy versátil.Tabla de verdad de un FF tipo J-K síncrono.

Page 88: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

8

Observando la tabla de verdad observamos los cambios que provoca en sus salidas este FF:

Si J y K = 0, no hay cambios en las salidas.

Si J = 1, y K = 0, se forzan las salidas a Q = 1, /Q = 0

Si J = 0, y K = 1, se forzan las salidas a Q = 0, /Q = 1

Si J = 1, y K = 1, las salidas conmutan su estado hacia el siguiente a cada pulso del reloj (Toggle)

Flip Flop tipo "D" (Datos, Data) A diferencia de los FF tipo J-K, el FF tipo "D" (Datos, Data) sólo cuneta con una entrada para hacer el cambio de las salidas. A cada pulso del reloj (dependiendo si el FF utiliza una TPP o una TPN) el estado presente en la entrada "D" será transferido a la salida Q y /Q.Tabla de verdad de un FF tipo "D"

Una de las aplicaciones de mayor uso para este tipo de FF es al de la transferencia de datos de forma paralela, conectando varios FF tipo "D" a X número de bits, podemos hacer que la información de todos los bits pase inmediatamente a la salida de cada FF con sólo un pulso de reloj.

Entradas asíncronas en los FF Como ya hemos visto, cada FF tiene entradas que pueden cambiar el estado de las salidas de manera sincronizada con el pulso de reloj, pero ¿Dónde quedaron nuestras entradas asíncronas? ¿Es posible seguir usando estas entradas en FF síncronos? La respuesta está en los FF síncronos de cualquier tipo que poseen entradas asíncronas, esto añade dos pines más de control a nuestros FF, los conocidos SET y RESET (Los cuáles pueden ser activos en el estado ALTO o BAJO). Entonces tenemos FF síncronos (Tipo "J - K", o tipo "D" ) con un par de entradas que no dependen en ningún momento del pulso de Reloj. Haciendo una combinación perfecta de entradas que controlan las salidas de manera automática (Asíncronas) o controladas por un pulso de reloj (Síncronas).La siguiente figura nos muestra los símbolos de los FF Tipo "J - K" y "D" con sus entradas asíncronas.

Page 89: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

8

9

Tabla de verdad del FF Tipo "J - K" con entradas Asíncronas (Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj que funciona con TPP)(Las entradas asíncronas con activas ALTAS)

El funcionamiento básico sigue siendo el mismo, pero las salidas serán forzadas a ALTO o BAJO, si se activan las entradas Asíncronas correspondientes (SET, RESET) sin importar el estado de las entradas "J - K" o CLK.

Tabla de verdad del FF Tipo "D" con entradas Asíncronas (Las "X" significan que no importa el estado actual de esa entrada).(El FF tiene una entrada de Reloj que funciona con TPP)(Las entradas asíncronas con activas ALTAS)

El funcionamiento básico sigue siendo el mismo, pero las salidas serán forzadas a ALTO o BAJO, si se activan las entradas Asíncronas correspondientes (SET, RESET) sin importar el estado de la entrada "D" o CLK.

Page 90: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

0

Con esto termina este pequeño tutorial de Flip-Flops, espero que las dudas hayan encontrado una respuesta satisfactoria, y que la información aquí contenida sea de gran utilidad para el lector.

2.3.3 Diseño de circuitos secuenciales

Page 91: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

1

Page 92: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

2

2.3.4 Aplicación de circuitos secuenciales

Page 93: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

3

Page 94: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

4

2.4 Familias lógicas

En ingeniería electrónica, se puede referir a uno de dos conceptos relacionados: una familia lógica de dispositivos circuitos integrados digitales monolíticos, es un grupo de puertas lógicas (o compuertas) construidas usando uno de varios diseños diferentes, usualmente con niveles lógicos compatibles y características de fuente de poder dentro de una familia. Muchas familias lógicas fueron producidas como componentes individuales, cada uno conteniendo una o algunas funciones básicas relacionadas, las cuales podrían ser utilizadas como “construcción de bloques” para crear sistemas o como por así llamarlo “pegamento” para interconectar circuitos integrados más complejos. También puede referirse a un conjunto de técnicas usadas para la implementación de la lógica dentro de una larga escala de circuitos integrados tal como un procesador central, memoria, u otra función compleja; estas familias usan técnicas dinámicas registradas para minimizar el consumo de energía y el retraso.

2.4.1 TTL

La familia lógica-transistor-transistor se desarrolló usando interruptores a transistor para las operaciones lógicas, y define los valores binarios como

0 V a 0,8 V = lógica 0 2 V a 5 V = lógica 1

La familia TTL es la más grande de los circuitos integrados (ICs), pero la familia CMOS está creciendo rápidamente. No son caros, pero consumen mucha energía y deben alimentarse con +5 voltios. Las puertas individuales, puden consumir de 3 a 4 mA. Las versiones Schottky de bajo consumo de chips TTL, solo consumen un 20% de energía pero son mas caras. Los números de piezas de estos chips llevan LS en el centro de su nomenclatura. Esta fue la primera familia de éxito comercial, se utilizó entre 1965 y 1985. Los circuitos TTL utilizan transistores bipolares y algunas resistencias de polarización. La tensión nominal de alimentación de los circuitos TTL son 5 V DC.

Niveles Lógicos TTL En el estudio de los circuitos lógicos, existen cuatro especificaciones lógicos diferentes: VIL, VIH, VOL y VOH. En los circuitos TTL, VIL es la tensión de entrada válida para el rango 0 a 0.8 V que representa un nivel lógico 0 (BAJO). El rango de tensión VIH representa la tensiones válidas de un 1 lógico entre 2 y 5 V. El rango de valores 0.8 a 2 V determina un funcionamiento no predecible, por lo tanto estos valores no son permitidos. El rango de tensiones de salida VOL, VOH se muestra en la figura (h).

figura (h)

Page 95: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

5

Puertas lógicas de la familia TTL Configuraciones de Salida en las Compuertas TTL Las compuertas TTL tienes tres tipos de configuraciones de salida: 1. Salida de Colector Abierto. 2. Salida de Poste Totémico. 3. Salida de Tres Estados.

Otras series TTL.

La familia original TTL se indica con los números 54/74. Con el avance que ha experimentado la tecnología de

fabricación desde su introducción se han puesto en el mercado familias mejoradas basadas en tecnología

bipolar que buscan optimizar algunos de los parámetros descritos anteriormente.

TTL de bajo consumo (54L/74L).

Esta familia se distingue por su bajo consumo de potencia (L=LOW POWER). Ello se consigue aumentando

significativamente los valores de las resistencias de polarización de los diferentes transistores, con lo que se

disminuye la corriente que circula por el sistema y con ello la potencia disipada. Si la potencia disipada en una

puerta q típica de la familia 54/74 es de 10 mW la de la puerta equivalente en la versión 54L/74L es de 1 mW.

El ahorro de potencia se paga con una pérdida en la velocidad: de los 10 nsg de tiempo de retardo típico en la

familia original se pasa a unos 33 nsg de retardo en esta familia.

TTL Schottky (54S/74S).

Esta serie proporciona unos tiempos de conmutación menor, gracias a la incorporación de diodos Schottky que

evitan que los transistores entren en saturación, disminuyendo el tiempo que tarda el transistor en entrar y salir

de la conducción. El retardo típico es de 3 nsg. Y la disipación de potencia de 19 mW.

TTL Schottky de bajo consumo (54LS/74LS).

Esta familia proporciona un compromiso entre velocidad y baja disipación de potencia utilizando altos valores de

resistencias y transistores de tipo Schottky. La disipación de potencia típica de una puerta es de 2 mW y el

retardo de propagación de 10 nsg.

Schottky avanzada y Shottky de bajo consumo avanzada (AS/ALS).

Estas tecnologías suponen versiones avanzadas de las series S y LS. La disipación de potencia estática típica

es de 8,5 mW para l serie AS y 1 mW para la serie ALS. Los tiempos de retardo de propagación típicos son de

1,5 nsg para AS y 4 nsg para ALS. Existe una versión AS que se denomina F o FAST (rápida).

Consideraciones prácticas sobre el uso de TTL.

Analizaremos Con más profundidad los conceptos de fuente y sumidero de corriente. En la siguiente figura se

muestran dos inversores TTL conectados en serie.

2.4.2 ECL

La familia ECL, Lógica Acoplada en Emisor (emmiter-coupled logic) son unos circuitos integrados digitales los

cuales usan transistores bipolares, pero a diferencia de los TTL en los ECL se evita la saturación de los

transistores, esto da lugar a un incremento en lavelocidad total de conmutación. La familia ECL opera bajo el

principio de la conmutación de corriente, por el cual una corriente de polarización fija menor que la corriente del

colector desaturación es conmutada del colector de un transistor al otro. Este tipo de configuraciones se les

conoce también como la lógica de modo de corriente (CML; current-mode logic).

Fundamento de las ECL

El funcionamiento de los circuitos ECL se basa en el mismo del amplificador diferencial. Los transistores no se

saturan, la operación normal es en zona activa, lo que constituye una de las razones que hace que estos

circuitos sean los mas veloces de los circuitos integrados digitales.

Page 96: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

6

La configuración de una ECL

Está basada en el amplificador diferencial, denominado así porque su salida es proporcional a la diferencia

entre dos tensiones de entrada V1 y V2. Este circuito se utiliza principalmente en sistemas analógicos, pero

también tiene propiedades digitales, llegando a ser la base de construcción de la lógica de emisor acoplado o

ECL (en algunos casos nos la podemos encontrar como lógica de modo corriente o CML), como lo muestra el

circuito.

Al aumentar el número de entradas, es necesario poner dos seguidores de emisor para igualar niveles

de tensión de entrada y salida.

Si V1 es igual que V2 se tendrá que, por simetría del circuito, las corrientes de los transistores son iguales. Sin

embargo, si V1 sobrepasa a V2 en aproximadamente 0,1 voltio, el transistor T1 estará en conducción y T2 en

corte; e inversamente, si V1 es menor que V2 en 0,1 voltio, entonces T2conducirá y T1 estará en corte.

La corriente de emisor se mantiene prácticamente constante, y se transfiere o conmuta del

transistor T1 al T2 cuando la tensión V1 varía desde 0,1 V, por encima de la tensión de referencia V2, hasta 0,1

voltios por debajo de esta tensión. Excepto dentro de un margen muy estrecho de variación de la tensión de

entrada V1, a la salida S sólo puede tener uno de dos posibles valores y, por tanto, actúa como circuito digital.

Los dos niveles lógicos pueden deducirse fácilmente. Si T2 está en corte, la tensión de salida será igual a la

de alimentación y se estará a nivel lógico 1. Cuando T2 está en conducción, los valores de las resistencias

calculados previamente harán que el transistor se encuentre en su zona activa, es decir, T2estará en su región

activa cuando la unión colector-base esté polarizada inversamente. Entonces, la tensión de salida será la de

alimentación menos la caída de tensión en la resistencia de colector, obteniendo de esta manera el estado

lógico 0.

Puesto que en el amplificador diferencial ningún transistor está dispuesto a llegar a saturación, se elimina

el tiempo de almacenamiento y, por tanto, la lógica ECL se convierte en la más rápida de las familias lógicas.

Podemos conseguir retardos de propagación inferiores a 0,5 nanosegundos por puerta.

Lógica cableada con circuitos ECL

Al igual que en las otras familias resulta conveniente obtener un nivel adicional de lógica a través de la unión de

varias salidas de compuertas ECL. Cuando dos o mas salidas de estas compuertas se unen, en el punto de las

mismas se realiza la función OR de las salidas unidas.

Ventajas de la familia ECL

Son los circuitos más veloces y pueden alcanzar tiempos de demora de hasta 1ns.

No existen picos de corrientes en los transistores como sucede en la familia lógica TTL.

Se dispone de salidas complementadas, lo que le brinda mayor versatilidad.

El nivel de 1 lógica es prácticamente independiente del factor de carga.

Buen factor de carga N= 15

Desventajas de la familia ECL

Pequeños valores de los márgenes de ruidos.

Altos valores de potencia del orden de 40 mW.

No son compatibles con los circuitos TTL.

Ocupan gran área en los circuitos integrados

Aplicación de las ECL

En la construcción de diferentes tipos de compuertas que requieren de las características de las ECL.

Ejemplo

Puerta OR/NOR de dos entradas y su representación esquemática, en una familia ECL

Page 97: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

7

Puerta OR/NOR a ECL

2.4.3 MOS

Estas familias, son aquellas que basan su funcionamiento en los transistores de efecto de campo o MOSFET. (El transistor de efecto de campo metal-óxido-semiconductor o MOSFET (en inglés Metal-oxide-semiconductor Field-effect transistor) es un transistor utilizado para amplificar o conmutar señales electrónicas. Es el transistor más utilizado en la industria microelectrónica, ya sea en circuitos analógicos o digitales, aunque el transistor de unión bipolar fue mucho más popular en otro tiempo. Prácticamente la totalidad de los microprocesadores comerciales están basados en transistores MOSFET. El MOSFET es un dispositivo de cuatro terminales llamados surtidor (S), drenador (D), compuerta (G) y sustrato (B). Sin embargo, el sustrato generalmente está conectado internamente al terminal del surtidor, y por este motivo se pueden encontrar dispositivos MOSFET de tres terminales. El término 'metal' en el nombre MOSFET es actualmente incorrecto ya que el material de la compuerta, que antes era metálico, ahora se construye con una capa de silicio policristalino. El aluminio fue el material por excelencia de la compuerta hasta mediados de 1970, cuando el silicio policristalino comenzó a dominar el mercado gracias a su capacidad de formar compuertas auto-alineadas. Las compuertas metálicas están volviendo a ganar popularidad, dada la dificultad de incrementar la velocidad de operación de los transistores sin utilizar componentes metálicos en la compuerta. De manera similar, el 'óxido' utilizado como aislante en la compuerta también se ha reemplazado por otros materiales con el propósito de obtener canales fuertes con la aplicación de tensiones más pequeñas. Un transistor de efecto de campo de compuerta aislada o IGFET (Insulated-gate field-effect transistor) es un término relacionado que es equivalente a un MOSFET. El término IGFET es más inclusivo, ya que muchos transistores MOSFET utilizan una compuerta que no es metálica, y un aislante de compuerta que no es un óxido. Otro dispositivo relacionado es el MISFET, que es un transistor de efecto de campo metal-aislante-semiconductor (Metal-insulator-semiconductor field-effect transistor).) Estos transistores se pueden clasificar en 2 tipos, según el canal utilizado:

1. NMOS: se basa únicamente en el empleo de transistores NMOS para obtener una función lógica. Su funcionamiento de la puerta lógica es el siguiente: cuando la entrada se encuentra en el caso de un nivel bajo, el transistor NMOS estará en su zona de corte, por lo tanto, la intensidad que circulará por el circuito será nula y la salida estará la tensión de polarización (un nivel alto); y cuando la entrada se encuentra en el caso de que está en un nivel alto, entonces el transistor estará conduciendo y se comportará como interruptor, y en la salida será un nivel bajo.

2. PMOS:

Page 98: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

8

El transistor MOS se puede identificar como un interruptor controlado por la tensión de la puerta, V_G, que es la que determinará cuándo conduce y cuando no.

2.4.4 CMOS

Complementary metal-oxide-semiconductor o CMOS (semiconductor complementario de óxido metálico) es una de las familias lógicas empleadas en la fabricación de circuitos integrados. Su principal característica consiste en la utilización conjunta de transistores de tipo pMOS y tipo nMOS configurados de tal forma que, en estado de reposo, el consumo de energía es únicamente el debido a las corrientes parásitas, colocado obviamente en la placa base. En la actualidad, la mayoría de los circuitos integrados que se fabrican utilizan la tecnología CMOS. Esto incluye microprocesadores,memorias, procesadores digitales de señales y muchos otros tipos de circuitos integrados digitales cuyo consumo es considerablemente bajo. Drenador (D) conectada a tierra (Vss) (0), el valor 0 no se propaga al surtidor (S) y por lo tanto a la salida de la puerta lógica. El transistor pMOS, por el contrario, está en estado de conducción y es el que propaga un '1' (Vdd) a la salida. Otra de las características importantes de los circuitos CMOS es que son regenerativos: una señal degradada que acometa una puerta lógica CMOS se verá restaurada a su valor lógico inicial 0 ó 1, siempre y cuando aún esté dentro de los márgenes de ruido que el circuito pueda tolerar.

La tecnología CMOS es la más utilizada actualmente para la construcción de circuitos integrados digitales,

como las compuertas, hasta los circuitos como las memorias y los microprocesadores. La tensión nominal de

alimentación de los circuitos CMOS son +5 V y +3,3 V.

Niveles Lógicos CMOS En la figura (a) se muestran las tensiones VIL, VIH, VOL, VOH válidas para los dispositivos CMOS de nivel +5

VDC.

Figura (a)

En la familia lógica MOS Complementaria, CMOS (Complementary Metal-Oxide Semiconductor), el término

complementario se refiere a la utilización de dos tipos de transistores en el circuito de salida, en una

configuración similar a la tótem-pole de la familia TTL. Se usan conjuntamente MOSFET (MOS Field-Effect

Page 99: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

9

9

transistor, transistor de efecto campo MOS) de canal n (NMOS) y de canal p (PMOS ) en el mismo circuito, para

obtener varias ventajas sobre las familias P-MOS y N-MOS. La tecnología CMOS es ahora la dominante debido

a que es más rápida y consume aún menos potencia que las otras familias MOS. Estas ventajas son opacadas

un poco por la elevada complejidad del proceso de fabricación del CI y una menor densidad de integración. De

este modo, los CMOS todavía no pueden competir con MOS en aplicaciones que requieren lo último en LSI.

La lógica CMOS ha emprendido un crecimiento constante en el área de la MSI, principalmente a expensas de la

TTL, con la que compite directamente. El proceso de fabricación de CMOS es más simple que el TTL y tiene

una mayor densidad de integración, lo que permite que se tengan más circuitos en un área determinada de

sustrato y reduce el costo por función. La gran ventaja de los CMOS es que utilizan solamente una fracción de

la potencia que se necesita para la serie TTL de baja potencia (74L00), adaptándose de una forma ideal a

aplicaciones que utilizan la potencia de una batería o con soporte en una batería. El inconveniente de la familia

CMOS es que es más lenta que la familia TTL, aunque la nueva serie CMOS de alta velocidad "HCMOS"

(SERIES HC y HCT), que vio la luz en 1983, puede competir con las series bipolares avanzadas en cuanto a

velocidad y disponibilidad de corriente, y con un consumo menor, con las series 74 y 74LS.

Características de las series CMOS

Existen varias series en la familia CMOS de circuitos integrados digitales, estudiaremos las principales

características de cada una.

·) Series 4000/14000

Las primeras series CMOS fueron la serie 4000, que fue introducida por RCA y la serie14000 por Motorola. La

serie original es la 4000A; la 4000B representa mejora con respecto a la primera y tiene mayor capacidad de

corriente en sus salidas. A pesar de la aparición de la nueva serie CMOS, las series 4000 siguen teniendo uso

muy difundido. La serie 4000A es la línea más usada de Circuitos Integrados digitales CMOS, contiene algunas

funciones disponibles en la serie TTL 7400 y está en expansión constante. Algunas características más

importantes de esta familia lógica son:

a) La disipación de potencia de estado estático de los circuitos lógicos CMOS es muy baja.

b) Los niveles lógicos de voltaje CMOS son 0 V para 0 lógico y VDD para 1 lógico. El suministro VDD puede

estar en el rango 3 V a 15 V para la serie 4000. La velocidad de conmutación de la familia CMOS 4000A varía

con el voltaje de la fuente.(consultar el apartado de los niveles de voltaje).

c) Todas las entradas CMOS deben estar conectadas a algún nivel de voltaje.

·) Serie 74C

Esta serie CMOS su característica principal es que es compatible terminal por terminal y función por función,

con los dispositivos TTL que tienen el mismo número (muchas de las funciones TTL, aunque no todas, también

se encuentran en esta serie CMOS). Esto hace posible remplazar algunos circuitos TTL por un diseño

equivalente CMOS. Por ejemplo, 74C74 contiene dos flip-flops tipo D disparados por flanco y tiene la misma

configuración de terminales que el CI TTL 7474, que también ofrece dos flipflops tipo D disparados por flanco.

El resto de las características son iguales a la serie 74C.

Las series HC/ HCT tienen como característica principal su alta velocidad.

·) Serie 74HC (CMOS de alta velocidad)

Esta es una versión mejor de la serie 74C. La principal mejora radica en un aumento de diez veces en la

velocidad de conmutación (comparable con la de los dispositivos de la serie 74LS de TIL). Otra mejora es una

Page 100: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

0

mayor capacidad de corriente en las salidas. La serie 74HC son los CMOS de alta velocidad, tienen un aumento

de 10 veces la velocidad de conmutación. La serie 74HCT es también de alta velocidad, y también es

compatible en lo que respecta a los voltajes con los dispositivos TTL.

·) Serie 74HCT

Esta serie también es una serie CMOS de alta velocidad, y está diseñada para ser compatible en lo que

respecta a los voltajes con los dispositivos TTL, es decir, las entradas pueden provenir de salidas TTL (esto no

es cierto para las demás series CMOS.)

Características comunes a todos los dispositivos CMOS

Cuando se emplean dispositivos CMOS y TTL, juntos, es usual que el voltaje de alimentación sea de 5 V para

que una sola fuente de alimentación de 5 V proporcione VDD para los dispositivos CMOS y VCC para los TTL.

Si los dispositivos CMOS funcionan con un voltaje superior a 5V para trabajar junto con TTL se deben de tomar

medidas especiales.

VOLTAJE DE ALIMENTACIÓN: Las series 4000 y 74C funcionan con valores de VDD, que van de 3 a 15 V,

por lo que la regulación del voltaje no es un aspecto crítico. Las series 74HC y 74RCT funcionan con un menor

margen de 2 a 6 V.

Cuando las salidas CMOS manejan sólo entradas CMOS, los niveles de voltaje de la salida pueden estar muy

cercanos a 0V para el estado bajo, y a VDD para el estado alto. Esto es el resultado directo de la alta

resistencia de entrada de los dispositivos CMOS, que extrae muy poca corriente de la salida a la que está

conectada.

Los requerimientos de voltaje en la entrada para dos estados lógicos se expresa como un porcentaje del voltaje

de alimentación, tal y como se expresa en la tabla adjunta.

De esta forma, cuando un CMOS funciona con VDD = 5 V, acepta voltaje de entrada menor que VIL(máx) = 1.5

V como BAJO, y cualquier voltaje de entrada mayor que VIH (mín) = 3.5 V como ALTO.

NIVELES DE VOLTAJE

Se denomina ruido a "cualquier perturbación involuntaria que puede originar un cambio no deseado en la salida

del circuito." El ruido puede generarse externamente por la presencia de escobillas en motores o interruptores,

por acoplo por conexiones o líneas de tensión cercanas o por picos de la corriente de alimentación. Los

circuitos lógicos deben tener cierta inmunidad al ruido la cual es definida como "la capacidad para tolerar

fluctuaciones en la tensión no deseadas en sus entradas sin que cambie el estado de salida". Los fabricantes

establecen un margen de seguridad para no sobrepasar los valores críticos de tensión conocido como

MARGEN DE RUIDO.

En la Figura (g), tenemos los valores críticos de las tensiones de entrada y salida de una puerta lógica y los

márgenes de ruido a nivel alto y bajo.

Page 101: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

1

,

Si la tensión de entrada mínima a nivel alto de una puerta tiene como valor VIHmín, la tensión mínima de salida

a nivel alto debe ser igual o superior a VIH mín. Pero para evitar la influencia de ruidos que afecten a la

siguiente puerta, no se permitirá una tensión de salida inferior a VIHmín más el margen de ruido a nivel alto

(VNIH): VOH mín = VIH mín + VNIH

Para determinar el valor de VOLmáx aplicamos el mismo criterio pero utilizando el margen de ruido a nivel bajo

(VNIL):

Los márgenes de ruido son los mismos en ambos estados y dependen de VDD. En VDD = 5 V, los márgenes

de ruido son 1.5 V. Observamos una mayor inmunidad al ruido que las TTL, siendo CMOS una atractiva

alternativa para aplicaciones que están expuestas a un medio con mucho ruido. Evidentemente, los márgenes

ruido pueden mejorarse utilizando un valor mayor de VDD a expensas de un mayor consumo de potencia

debido al mayor voltaje de alimentación.

Supongamos que trabajamos a un nivel bajo de VOL = 0?4 V con VIL máx = 0?8 V. En estas condiciones

tendremos un margen de ruido para nivel bajo de: VNIL = 0?8 ? 0?4 = 0?4.

INMUNIDAD AL RUIDO

La potencia disipada, es la media de potencia disipada a nivel alto y bajo. Se traduce en la potencia mediaque

la puerta va a consumir. Tal y como comentamos, uno de los principales motivos del empleo de la lógica CMOS

es su "muy bajo consumo de potencia". Cuando un circuito lógico CMOS se encuentra en estático (sin cambiar)

o en reposo, su disipación de potencia es extremadamente baja, aumentando conforme aumenta la velocidad

de conmutación.

Esto lo podemos observar examinando cada uno de los circuitos de las Figuras anteriormente explicadas

independientemente del estado de la salida, hay una muy alta resistencia entre el terminal VDD y masa, debido

a que siempre hay un. MOSFET apagado en la trayectoria de la corriente. Por este motivo, se produce una

disipación de potencia dc típica del CMOS de sólo 2.5 nW por compuerta cuando VDD = 5 V; aún en VDD = 10

aumentaría sólo 10 nW.

Page 102: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

2

Con estos valores de PD es fácil observar por qué la familia CMOS se usa ampliamente en aplicaciones donde

el consumo de potencia es de interés primordial.

DISIPACIÓN DE POTENCIA

En la siguiente gráfica, podemos observar como la disipación de potencia en función de la frecuencia de una

compuerta TTL es constante dentro del rango de operación. En cambio, en la compuerta CMOS depende de al

frecuencia.

La disipación de potencia de un CI CMOS será muy baja mientras esté en una condición dc.

Desafortunadamente, PD siempre crecerá en proporción a la frecuencia en la cual los circuitos cambian de

estado.

Cada vez que una salida CMOS pasa de BAJO a ALTO, tiene que suministrarse una corriente de carga con

oscilación momentánea a la capacitancia de carga. Esta capacitancia consta de las capacitancias de entrada de

las cargas combinadas que se conducen y de la capacitancia de salida propia del dispositivo.

Estas breves espigas de corriente son suministradas por VDD y pueden tener una amplitud regular de 5 mA y

una duración de 20 a 30 ns. Es obvio, que cuando la frecuencia de conmutación aumente, habrá más de estas

espigas de corriente por segundo y el consumo de corriente promedio de VDD aumentará.

De este modo, en frecuencias más altas, CMOS comienza a perder algunas de sus ventajas sobre otras

familias lógicas. Como regla general, una compuerta CMOS tendrá el mismo PD en promedio que una

compuerta 74LS en frecuencias alrededor de cerca dc 2 a 3 MHz. Para CI MSI, la situación es más compleja

que la que se expresa aquí y un diseñador lógico debe realizar un análisis detallado para determinar si el

CMOS tiene o no una ventaja en cuanto a la disipación de potencia en cierta frecuencia de operación.

PD AUMENTA CON LA FRECUENCIA

FACTOR DE CARGA

Al igual que N-MOS y P-MOS, los CMOS tienen una resistencia de entrada extremadamente grande (10*12Ω)

que casi no consume corriente de la fuente de señales, cada entrada CMOS representa comúnmente una carga

a tierra de 5 pF. Debido a su capacitancia de entrada se limita el número de entradas CMOS que se pueden

manejar con una sola salida CMOS. Así pues, el factor de carga de CMOS depende del máximo retardo

permisible en la propagación. Comúnmente este factor de carga es de 50 para bajas frecuencias (<1 MHz). Por

supuesto para altas frecuencias, el factor de carga disminuye.

La salida CMOS tiene que cargar y descargar la combinación en paralelo de cada capacitancia de entrada, de

manera que el tiempo de conmutación de salida aumente en proporción al número de cargas conducidas, cada

carga CMOS aumenta el retardo en la conducción de la propagación del circuito por 3 ns.

Así podemos llegar a la conclusión de que el factor de carga de CMOS depende del máximo retardo permisible

en la propagación

G) VELOCIDAD DE CONMUTACIÓN

Los CMOS, al igual que N-MOS y P-MOS, tiene que conducir capacitancias de carga relativamente grandes, su

velocidad de conmutación es más rápida debido a su baja resistencia de salida en cada estado. Recordemos

que una salida N-MOS tiene que cargar la capacitancia de carga a través de una resistencia relativamente

grande (100 k Ω). En el circuito CMOS, la resistencia de salida en el estado ALTO es el valor RON del P-

MOSFET, el cual es generalmente de 1 k Ω o menor. Esto permite una carga más rápida de la capacitancia de

carga.

Page 103: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

3

Los valores de velocidad de conmutación dependen del voltaje de alimentación que se emplee, por ejemplo en

una a compuerta NAND de la serie 4000 el tiempo de propagación es de 50 ns para VDD = 5 V y 25ns para

VDD = 10 V. Como podemos ver, mientras VDD sea mayor podemos operar en frecuencias más elevadas. Por

supuesto, mientras más grande sea VDD se producirá una mayor disipación de potencia.

Una compuerta NAND de las series 74HC o 7411CT tiene un tpd promedio alrededor de 8 ns cuando funciona

con un VDD = 5V. Esta velocidad es comparable con la de la serie 74LS.

I) ENTRADAS CMOS.

Las entradas CMOS nunca deben dejarse desconectadas, ya que son muy sensibles a la electricidad estática y

al ruido, los cuales pueden fácilmente activar los canales MOSFET P y N en el estado conductor, produciendo

una mayor disipación de potencia y posible sobrecalentamiento. Tienen que estar conectadas a un nivel fijo de

voltaje alto o bajo (0 V o VDD) o bien a otra entrada. Esta regla se aplica aún a las entradas de otras

compuertas lógicas que no se utilizan en el mismo encapsulado.

J) SUSCEPTIBILIDAD A LA CARGA ESTÁTICAS

Las familias lógicas MOS son especialmente susceptibles a daños por carga electrostática. Esto es

consecuencia directa de la alta impedancia de entrada de estos CI. Una pequeña carga electrostática que

circule por estas altas impedancias puede dar origen a voltajes peligrosos. Los CMOS están protegidos contra

daño por carga estática mediante la inclusión en sus entradas de diodos zéner de protección.

Diseñados para conducir y limitar la magnitud del voltaje de entrada a niveles muy inferiores a los necesarios

para provocar daño. Si bien los zéner por lo general cumplen con su finalidad, algunas veces no comienzan a

conducir con la rapidez necesaria para evitar que el CI sufra daños. Por consiguiente, sigue siendo buena idea

observar las precauciones de manejo presentadas antes para todos los CI.

2.4.5 Bajo voltaje (LVT, LV, LVC, ALVC)

El sistema de señal diferencial de bajo voltaje o LVDS (low-voltagedifferentialsignaling), es un sistema de transmisión de señales a alta velocidad sobre medios de transmisión baratos, como puede ser el par trenzado. Fue introducido en 1994 y se hizo popular en redes de computadores de alta velocidad para la transmisión de datos. Transmisión LVDS. LVDS es un sistema de señal diferencial, lo que significa que la señal es transmitida por duplicado por el emisor pero con diferente voltaje, una señal transmitida con signo positivo, mientras que la otra es transmitida con signo negativo. Para ello se requieren dos cables diferenciados. Una vez la señal llega al receptor, éste se encarga de comparar ambas señales, y comprobar cuál de las dos tiene mayor potencial y asignar el valor lógico que corresponda. La transmisión de los datos de los sistemas que utilizan este tipo de transmisión de señal se realiza en serie (bit a bit), debido a tener que doblar el número de cables necesarios para la transmisión, lo que en sistemas de transmisión en paralelo sería inviable. El objetivo de la transmisión diferencial es poder recuperar más fácilmente la señal en el receptor, gracias a que es más fácil comprobar qué señal es más positiva que otra, que obtener el potencial de la señal.

1. BAJO VOLTAJE (LVT, LV, LVC, ALVC) Son familias lógicas especialmente diseñadas para funcionar con tensiones de alimentación reducidas, sin que ello suponga una pérdida de capacidad de carga ni incremento de los tiempos de propagación.

Page 104: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

4

2. Dentro de las familias lógicas de baja tensión se encuentran: LV, LVC, ALVC, LVT, ALVT, AVC, LVQ,(algunos ejemplos de estos circuitos son: 74LV165, 74LVC14, 74ALVCH16272, etc)

3. CARACTERÍSTICAS MÁS IMPORTANTES DE FAMILIAS LÓGICAS DE BAJA TENSIÓN 4. Podemos observar que el margen de tensiones en el que pueden funcionar, garantizando un correcto

funcionamiento, va desde 2.3 a 3.6V, siendo una tensión típica de alimentación 3.3V . Las familias LV, LVC y ALVC están realizadas con tecnología CMOS y la familia LVT con tecnología BiCMOS.

Page 105: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

5

UNIDAD 3 Convertidores

3.1 Analógico / Digital A/D

Un conversor, (o convertidor) de señal analógica a digital, (o también CAD de "Conversor Analógico Digital", o ADC del inglés "Analog-to-Digital Converter") es un dispositivo electrónico capaz de convertir una señal analógica de voltaje en una señal digital con un valor binario.

Se utiliza en equipos electrónicos como computadora, grabadores de sonido y de vídeo, y equipos de telecomunicaciones. La señal analógica, que varía de forma continua en el tiempo, se conecta a la entrada del dispositivo y se somete a un muestreo a una velocidad fija, obteniéndose así una señal digital a la salida del mismo.

Sin duda alguna muchas veces se necesita en el diseño electrónico de una ayuda que nos permita mirar en una forma detallada el funcionamiento de un circuito, tal como el comportamiento de los voltajes y corrientes involucrados en este, no solo midiendo valores eficaces, y valores medios, en la lectura de un voltímetro común, en ocasiones necesitaremos mirar en las formas de ondas asociadas a estas variables, para llegar a una conclusión para mejorar este circuito, o bien para deducir cuales son sus fallas, o multiples propositos de medición en este sentido surge la necesidad tanto para mi como para cualquier inciado en la electronica del diseño de un dispositivo que sea capaz de ser conectado a nuestro circuito, y mediante el computador ver la forma de onda de esta señal por suerte poseo un osciloscopio analogo, bien que muchos iniciados no poseen los medios para adquirir uno de estos dispositivos, pero si tienen la fortuna de tener una PC, bien sea de ellos o prestada, aqui se ofrece entonces el diseño de un conversor analogo-digital muy sencillo, que permitira, mediante la visualización de corrientes y voltajes, no solo estudiar nuestros circuitos si no que ademas, ver las puertas hacia el mundo analogo con el computador a traves de nuestros circuitos, con las posibilidades de medir inumerables variables, tales como temperatura, presión, velocidad, y toda aquella que nuestra imaginación nos permita mediante un circuito electrónico asociado.

Page 106: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

6

Bien es posible utilizar la targeta de sonidos de nuestra PC, que es un magnifico AD, con una velocidad de muestreo de 44.1 KHz aunque el acceso a esta es complejo, y ademas posee la desventaja de que solo recive señales alternas mientras que este AD puede recibir señales continuas como alternas, es demaciado sencillo y facil de construir los componentes son baratos y se pueden conseguir en cualquier tienda de articulos electronicos, son en su mayoria componentes de uso general, cero TTL, aunque es posible mejorarlo y lograr un AD de varios canales mediante multiplexación.

Bien el circuito consta simplemente de un oscilador de relajación en diente de sierra (una version del oscilador de Alcalá operando con simetria complementaria, puede utilizarse un 555, y un transistor ahorrandose toda la circuiteria compleja de tal oscilador, ademas del amplificador de salida X2) , y un comparador como se ve en la imagen a la izquierda, notese que la finalidad del AD, es la de muestrear el ancho de pulso que es proporcional a la entrada "in", la entrada "E" es un habilitador, en estado bajo permite la oscilacion del generador en estado alto inhibe estas oscilaciones, es una manera de añadir un poco mas de precisión en la medida, de manera, que la señal de diente de sierra se inicia al habilitar esta entrada de generador, haciendola bajar mediante un dipositivo tal como una PC, o bien un microcontrolador, al oscilar se levanta la señal de diente de sierra, notese que el comparador estara en estado bajo mientras la sierra no supere al valor de entrada "in", y mientras esto sucede y el valor de la salida del op-amp comparador X3, este alto, se cuenta, hasta que "in" es menor que la señal de diente de sierra lo que baja la salida de X3, el PC nota este cambio, y detiene su conteo, tal conteo es de esta forma proporcional, al valor del voltaje de entrada "in", luego se inhibe la oscilacion, se procesa mediante el computador , y , luego se prepara el oscilador para el proximo evento de adquisición de data. La cuestion importante es el acceso a los puertos de la PC, en este caso es posible como la entrada es serial, conectarse al puerto paralelo de la PC, lamentablemente que en las nuevas versiones del sistema operativo Windows desde el NT, es necesario habilitar un driver para tener el acceso a este puerto, bien esto para mi no es problema, es posible tener acceso al puerto con un simple diskette de arranque en DOS, se hace bootear la PC desde el floppy, y se incluye en ese diskette un programa en C++ que maneje la data del puerto,

Page 107: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

7

ciertamente que es necesario antes de arrancar ver la configuración del bios del computador , y ver en que dirección esta ubicado el puerto paralelo, pudiere ser en la dirección 0x278, o bien en la dirección 0x378 , o en la dirección 0x3bc, que son las tres posibles locaciones en que se ubica el primer registro del puerto, (0x&&& indica un valor en sistema numerico hexadecimal), cualquiera de estas direcciones indica en el puerto el registro de datos asociado, ubicado en el hardware en los pines 2~9, del conector DB-25 del puerto paralelo del computador, entonces es buena practica de software llamar a cualquiera de estas tres direcciones, cualquiera que indique el bios como "Datos", denominemoslo asi, por que este puerto era utilizado anteriormente para el manejo de la impresora, y este registro enviaba los caractres alfanumericos a ser procesados, el segundo registro es el registro de estado, si por ejemplo el registro de datos es; Datos = 0x378 el registro de Estado se ubica en la direccion siguiente, pines (10 ~ 13), y 15 del conector DB-25, este registro se utilizaba para verificar el estado de la impresora como lo indica su nombre, y el ultimo registro seguido del registro de estado es donde se ubica el registro de control, pines 1, 14,16,17, notese que muchos de estos pines funcionan con logica invertida, asimismo como los pines del registro de estado, es conveniente en todo caso operar con las mascaras apropiadas, solo el registro de datos opera con logica directa. Para el diseño del A/D tan solo se necesita operar en modo SPP, que es el modo estandar de la impresora que es la configuración del puerto paralelo por defecto, estos registros en este modo son uni-direccionales, utilizando en este caso los registros de puerto que parecieren necesarios, el registro de estado para recibir la data, y el registro de control, o, el registro de datos en su defecto, para definir el arranque de la toma de muestras, me gustaria extenderme un poco mas en la descripción del puerto paralelo sin embargo en la web existen inumerables paginas que abordan la problematica de este puerto. Este tipo de AD es bastante impresiso, y requiere en muchos casos si la velocidad de oscilación es alta, (la cual depende de los valores de R6, y C1), de algoritmos de compreción para mejorar la precisión mientras mas rapido es el dispositivo sea una PC, o microcontrolador, mejor ya que los conteos serán mayores, el primer algoritmo de compreción utilizado es simplemente que la divición, de manera de determinar bajo un mismo valor una extención grande de valores bien que trae como consecuencia la no linealidad en la medida, las ventajas de tal dispositivo es que primero es facil de construir, y facil de implementar, es probablemente el mas sencillo de los conversores AD, ademas otra caracteristica es la velocidad es posible muestrear señales de una frecuencia respetable, probablemente que en mejor forma que en dispositivos mas refinados y de mayor precisión.

Page 108: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

8

3.1.1 Tipos

REALIMENTADOS: Escalera

Seguimiento

Aproximaciones Sucesivas

INTEGRADORES: Una Rampa

Doble Rampa

Tensión-Frecuencia

PARALELO Flash

Page 109: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

0

9

3.1.2 Aplicaciones

Los convertidores A/D son dispositivos electrónicos que establecen una relación biunívoca entre el valor de la señal en su entrada y la palabra digital obtenida en su salida. La relación se establece en la mayoría de los casos, con la ayuda de una tensión de referencia.

La conversión analógica a digital tiene su fundamento teórico en el teorema de muestreo y en los conceptos de

cuantificación y codificación. Una primera clasificación de los convertidores A/D, es la siguiente:

Conversores de transformación directa.

Conversores con transformación (D/A) intermedia, auxiliar.

Circuitos de captura y mantenimiento (S/H:Sample and Hold). Los circuitos de captura y mantenimiento se emplean para el muestreo de la señal analógica (durante un intervalo de tiempo) y el posterior mantenimiento de dicho valor, generalmente en un condensador, durante el tiempo que dura la transformación A/D, propiamente dicha. El esquema básico de un circuito de captura y mantenimiento, así como su representación simplificada, se

ofrece en la figura:

El funcionamiento del circuito de la figura es el siguiente: El convertidor A/D manda un impulso de anchura tw por la línea C/M, que activa el interruptor electrónico, cargándose el condensador C, dutrante el tiempo tw. En el caso ideal, la tensión en el condensador sigue la tensión de entrada. Posteriormente el condensador mantiene la tensión adquirida cuando se abre el interruptor.

Page 110: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

0

En la siguiente figura se muestran las formas de las señales de entrada, salida y gobierno del interruptor.

El gráfico tiene un carácter ideal, puesto que tanto la carga como la descarga del condensador están relacionadas estrechamente con su valor y con el de las resistencias y capacidades parásitas asociadas al circuito. Se recalca el hecho de que el control de la señal C/M procede del convertidor A/D, que es el único que conoce el momento en que finaliza la conversión de la señal. Conversor A/D con comparadores. Es el único caso en que los procesos de cuantificación y codificación están claramente separados. El primer paso se lleva a cabo mediante comparadores que discriminan entre un número finito de niveles de tensión . Estos comparadores reciben en sus entradas la señal analógica de entrada junto con una tensión de referencia, distinta para cada uno de ellos. Al estar las tensiones de referencia escalonadas, es posible conocer si la señal de entrada está por encima o por debajo de cada una de ellas, lo cual permitirá conocer el estado que le corresponde como resultado de la cuantificación. A continuación será necesario un codificador que nos entregue la salida digital.

Page 111: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

1

Este convertidor es de alta velocidad, ya que el proceso de conversión es directo en lugar de secuencial, reduciéndose el tiempo de conversión necesario a la suma de los de propagación en el comparador y el codificador. Sin embargo, su utilidad queda reducida a los casos de baja resolución, dado que para obtener una salida de N bits son necesarios 2N-1 comparadores, lo que lleva a una complejidad y encarecimiento excesivos en cuanto se desee obtener una resolución alta. Conversor A/D con contadores. Llamado también convertidor con rampa en escalera. Usa el circuito más sencillo de los conversores A/D y consta básicamente de los elementos reflejados en la figura siguiente:

Un comparador, reloj, circuito de captura y mantenimiento (S&H), contador, conversor D/A y buffers de salida. Una vez que el circuito de captura y mantenimiento (S/H), ha muestreado la señal analógica, el contador comienza a funcionar contando los impulsos procedentes del reloj. El resultado de este contaje se transforma

Page 112: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

2

en una señal analógica mediante un convertidor D/A, proporcional al número de impulsos de reloj recibidos hasta ese instante. La señal analógica obtenida se introduce al comparador en el que se efectúa una comparación entre la señal de entrada y la señal digital convertida en analógica. En el momento en que esta última alcanza el mismo valor ( en realidad algo mayor) que la señal de entrada, el comparador bascula su salida y se produce el paro del contador. El valor del contador pasa a los buffers y se convierte en la salida digital correspondiente a la señal de entrada. Este convertidor tiene dos inconvenientes:

Escasa velocidad.

Tiempo de conversión variable. El segundo inconveniente puede comprenderse fácilmente con la ayuda de la siguiente figura, en la que se aprecia que el número de impulsos de reloj (tiempo), precisos para alcanzar el valor Vien el conversor D/A depende del valor de Vi.

Dicho tiempo de conversión viene dado por la expresión:

Conversor A/D con integrador. Este tipo de convertidores son más sencillos que los anteriores ya que no utilizan convertidores D/A. Se emplean en aquellos casos en los que no se requiere una gran velocidad, pero en los que es importante conseguir una buena linealidad. Son muy usados en los voltímetros digitales. Existen dos tipos:

Page 113: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

3

Convertidor A/D de rampa única Consta, como se refleja en la figura, de un integrador, un comparador, un generador de impulsos y un contador con sus buffers de salida. En la puesta en marcha tanto el integrador como el contador son puestos a cero por el circuito de control. TARJETAS DE ADQUISICIÓN DE DATOS Para realizar cualquier tipo de adquisición de datos usando una computadora personal (PC), es necesario de una tarjeta de adquisición de datos (TAD) que realice la conversión de la señal y un programa que lea los valores de la señal en la PC. LabVIEW es un software que permite hacer esta adquisición utilizando funciones de alto nivel de interface con el usuario, mientras que a nivel de hardware la adquisición ocurre al más bajo nivel de la TAD. El software intermedio que controla la comunicación entre LabVIEW (alto nivel) y el hardware (bajo nivel) es el software manejador (driver). Sobre PC’s el driver emplea librerías de enlace dinámico (DLL’s) para realizar esta comunicación con LabVIEW. La expresión de “adquisición de datos” ha sido empleada para referirse a distintos tipos de tareas, tales como medición de señales analógicas, generación de señales analógicas, adquisición y generación de señales digitales y operaciones con contadores. Medición de señales analógicas se refiere a aplicaciones donde se realiza mediciones de señales físicas, tales como temperatura, voltaje, presión etc., en términos de voltajes o corrientes. Generación de señales es lo opuesto a medición y tiene que ver con la generación de señales analógicas CA o CD. Si se están generando o adquiriendo señales de niveles TTL, se estaría hablando de generación y medición de señales digitales, las cuales se implementan frecuentemente en la comunicación entre dispositivos digitales tales como escáneres, impresoras y computadoras. Aplicaciones con contadores involucran medición de frecuencia, medición de la duración de un pulso, medición de período, conteo de pulsos digitales.

Colocar una interface o una tarjeta de ampliación en un ordenador no suele ser tan sencillo como limitarse a instalar dichos elementos en la ranura correspondiente, sobre todo si ya hay instaladas varias tarjetas en el sistema. Como sabemos, todas las tarjetas se comunican con el ordenador a través de una determinada dirección de comunicaciones que define un canal de entrada salida. Lo primero que hay que tener en cuenta es que no puede haber dos tarjetas que tengan la misma dirección de comunicaciones instaladas en el mismo ordenador, ya que en ese caso las direcciones de ambas tarjetas colisionarán provocando que ni el ordenador ni los elementos asociados a las tarjetas funcionen de forma correcta. Todas las tarjetas suelen tener una serie de puentes o micro interruptores que permiten modificar algunos parámetros de funcionamiento de las mismas, entre los que se encuentran las direcciones de acceso y las interrupciones que utiliza para comunicarse con el sistema en el que se encuentra instalada.

Antes de instalar la tarjeta en el ordenador habrá que comprobar las direcciones utilizadas por las otras tarjetas ya instaladas y seleccionar en la tarjeta a utilizar una dirección de las que existan libres. Por este motivo es conveniente apuntar y guardar en un lugar seguro la información relativa a la configuración e instalación de las tarjetas, por si en alguna ocasión hay que hacer alguna modificación o consulta. Con el fin de variar las especificaciones adaptadas inicialmente.

La digitalización de señales es realizada por las tarjetas de adquisición de datos. La digitalización de sonidos, por su parte, es un proceso realizado por algunas tarjetas especializadas como pueden ser las de sonido, las de correo vocal o incluso las que incluyen los sistemas MIDI. Normalmente, la digitalización de señales se realiza mediante un convertidor analógico/digital que muestra la señal que se introduce a través de un censor o transductor. Al digitalizar una señal de determinada frecuencia, la frecuencia de muestreo deberá elegirse de acuerdo con la frecuencia de la señal a muestrear, teniendo en cuenta que cuanto mayor sea la frecuencia de muestreo, mejor será la calidad de la señal digitalizada.

Page 114: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

4

SINTETIZADORES DE VOZ ¿Pueden hablar lo ordenadores? ¿Y escuchar?. En lo referente a los llamados sintetizadores de voz para ordenadores existe bastante confusión entre los distintos tipos y sus capacidades. Conviene no llamarse a engaño y tener claro cuáles son las posibilidades reales de estos sistemas, las cuales se intentarán clarificar a continuación. Hacer hablar a un ordenador es bastante simple. Un programa adecuado, un circuito de generación de sonidos vocales y ya está listo para repetir como un loro todo lo que se introduzca a través del teclado. En estos circuitos integrados se encuentran almacenados los patrones sonoros de los distintos fonemas, es decir, los sonidos correspondientes a los caracteres del alfabeto. Existen varios de estos sistemas en el mercado, comercializados en forma de tarjeta que se conecta en las ranuras de expansión del ordenador y que disponen de un altavoz para la emisión de sonidos. Su utilidad queda restringida a los programas educativos. No debe esto confundirse esto con los dispositivos capaces de grabar la voz y almacenarla en forma de información binaria en la memoria del ordenador. En éstos la voz (o cualquier otro tipo de sonido) es digitalizada por el sistema de muestreo. Se toman valores puntuales de la onda sonora, llegando a reproducir ésta con bastante calidad si se emplea un número elevado de divisiones. Cuanto más preciso sea el muestreo de los sonidos, mayor será el parecido con el sonido original, pero también ocupará más espacio en memoria. Avanzando un paso más se encuentran los intérpretes de comandos vocales. Estos sistemas son de nueva creación y todavía no están muy extendidos ni perfeccionados. En ellos la voz se recoge a través de un micrófono y también es muestreada para, una vez convertida en información binaria, comparar esta onda digitalizada con los patrones sonoros de varias palabras que se encuentran almacenadas en memoria. Si la onda digitalizada coincide en su forma con alguna de éstas, el ordenador reconoce la palabra que se ha pronunciado y es capaz de ejecutar un comando asociado a esa palabra.

TARJETAS DE SONIDO Al principio, una de las asignaturas pendientes de los ordenadores compatibles era el sonido. El pequeño altavoz que incorpora y los pitidos de frecuencia y duración variable que produce no son suficientes para ciertas aplicaciones como pueden ser los juegos o las presentaciones multimedia. Actualmente, en el mercado han aparecido una serie de tarjetas que permiten producir sonidos y digitalizarlos a un precio asequible. La principal característica que hay que tener en cuenta a la hora de comprar una de estas tarjetas es que sea compatible con los programas existentes para lograr así mejorar los efectos de sonido que dichos programas incluyen. Entre las tarjetas más difundidas destacan la Thunder Board, la AdLib y la SoundBlaster. Dado que las tarjetas de sonido están orientadas principalmente al mundo de los juegos de ordenador, suelen incorporar un puerto para joystick. Además suelen proporcionar hasta 11 voces de música codificada en frecuencia modulada (igual que un sintetizador musical) con lo que permiten realizar la digitalización de sonidos a través de una toma de micrófonos para después reproducirlos, filtrarlos, o incluso comprimir los archivos de sonido generados para que ocupen poco espacio en el disco. Además de todo esto, cuentan con una simple conexión para dos altavoces externos conectada a un amplificador de dos o cuatro vatios y con la posibilidad de conexión a un sistema MIDI. Normalmente estas tarjetas vienen acompañadas de un software de demostración que suele consistir en un digitalizador, un programa que permite convertir el teclado de un ordenador en un órgano electrónico, programas de edición de sonidos, etc. LAS DIRECCIONES DE LAS TARJETAS Colocar un interface o una tarjeta de ampliación en un ordenador no suele ser tan sencillo como limitarse a instalar dichos elementos en la ranura correspondiente, sobre todo si ya hay instaladas varias tarjetas en el sistema. Como sabemos, todas las tarjetas se comunican con el ordenador a través de una determinada dirección de comunicaciones que define un canal de entrada salida.

Page 115: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

5

Lo primero que hay que tener en cuenta es que no puede haber dos tarjetas que tengan la misma dirección de comunicaciones instaladas en el mismo ordenador, ya que en ese caso las direcciones de ambas tarjetas colisionarán provocando que ni el ordenador ni los elementos asociados a las tarjetas funcionen de forma correcta. El primer consejo es leer de forma detenida el manual que acompaña a la tarjeta y comprobar que la garantía del ordenador no queda invalidada si el usuario lo abre para instalar dicho elemento. Todas las tarjetas suelen tener una serie de puentes o micro interruptores que permiten modificar algunos parámetros de funcionamiento de las mismas, entre los que se encuentran las direcciones de acceso y las interrupciones que utiliza para comunicarse con el sistema en el que se encuentra instalada. Las tarjetas disponen de unos puentes o micro interruptores que permiten modificar la dirección de la tarjeta a fin de adaptarlas a las especificaciones propias del equipo

Antes de instalar la tarjeta en el ordenador habrá que comprobar las direcciones utilizadas por las otras tarjetas ya instaladas y seleccionar en la tarjeta a utilizar una dirección de las que existan libres. Por este motivo es conveniente apuntar y guardar en un lugar seguro la información relativa a la configuración e instalación de las tarjetas, por si en alguna ocasión hay que hacer alguna modificación o consulta. Con el fin de variar las especificaciones adaptadas inicialmente. En caso de duda lo mejor es informarse en el servicio técnico de la empresa que vendió la tarjeta y no realizar pruebas ya que se pueden producir daños en el hardware. DIGITALIZACION DE SONIDOS La digitalización de sonidos es un proceso realizado por algunas tarjetas especializadas como pueden ser las de sonido, las de correo vocal o incluso las que incluyen los sistemas MIDI. Normalmente, la digitalización de sonido se realiza mediante un convertidor analógico/digital que muestra la señal que se introduce a través de un micrófono. El principal problema de los archivos de sonido es que, como la frecuencia de muestreo es elevada, una grabación suele generar un archivo de grandes dimensiones. Para evitar este problema muchos sistemas de digitalización disponen de sistemas de compresión de información. La longitud del archivo depende de la frecuencia de muestreo y de la duración de la grabación: cuanto mayor es la frecuencia de muestreo, mayor será el tamaño del archivo. De esta forma, mientras que una grabación de 60 segundos muestreada a 8KHz ocupa aproximadamente 500kB, si aumentásemos la frecuencia de muestreo a 22KHz. el volumen de memoria ocupado se incrementaría aproximadamente a los 1,4MB. Esto quiere decir que si se desea digitalizar un sonido de determinada frecuencia, la frecuencia de muestreo deberá elegirse de acuerdo con la frecuencia del sonido a muestrear, teniendo en cuenta que cuanto mayor sea la frecuencia, mejor será la calidad del sonido digitalizado.

3.2. Digital / Analógico D/A

Circuito tiene una entrada digital y da a la salida una tensión proporcional a la palabra digital. Tiene una serie de aplicaciones muy útiles. En primer lugar hay que decir que en la arquitectura interna de algunos A/D es necesario un D/A. Pero además el convertidor D/A tiene por sí sólo una utilidad importante en los sistemas de telefonía digital o cuando se quieren procesar señales mediante un procesado digital para manipularlas de alguna forma: por ejemplo cambiar el tono de una señal de voz. El sistema completo (menos los filtros) será el siguiente:

Page 116: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

6

El A/D y D/A pueden venir juntos en un sólo circuito que se le llama CODEC e igualmente, si la ROM es pequeña puede venir en el DSP. Otra aplicación de un D/A es en generación de señales. En esta aplicación se trata de obtener una señal de salida que siga un patrón determinado. El esquema de un generador de señal con un D/A es el siguiente:

En cada posición de la ROM está guardado de forma digital un "pedazo" de la señal de forma que con el contador se va a cada una de las posiciones de la memoria que son lanzados al D/A de forma secuencial generándose la señal. Esta puede ser de cualquier tipo (seno, de voz, etc.). En el caso de la generación de señal de voz se le llama sintetizador de voz programada. Otras aplicaciones de los D/A son las tarjetas gráficas de los ordenadores y como elemento de control en aplicaciones de tipo industrial, para elementos de control continuo. La estructura general que presenta un convertidor D/A es la siguiente:

Page 117: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

7

En donde el LATCH es necesario para que el valor digital de la entrada permanezca en ésta el tiempo necesario para que la conversión se lleve a cabo con normalidad. Sin embargo, no siempre es ésta la estructura necesaria. En algunas ocasiones los convertidores no poseen el LATCH, o por el contrario no tienen el amplificador de salida, o la red de resistencias no Tiene fuente de alimentación de referencia, etc., en esos casos habrá que colocárselo En electrónica, dispositivo que convierte una entrada digital (generalmente binaria) a una señal analógica (generalmente voltaje o carga eléctrica). Los conversores digital-analógico son interfaces entre el mundo abstracto digital y la vida real analógica. La operación reversa es realizada por un conversor analógico-digital (ADC). Este tipo de conversores se utiliza en reproductores de sonido de todo tipo, dado que actualmente las señales de audio son almacenadas en forma digital (por ejemplo, MP3 y CDs), y para ser escuchadas a través de los altavoces, los datos se deben convertir a una señal analógica. Los conversores digital-analógico también se pueden encontrar en reproductores de CD, reproductores de música digital, tarjetas de sonidos de PC, etc. Introducción

El mundo real es básicamente analógico. La medida directa de una magnitud física (sonido, temperatura, presión, etc.) es convertida por el correspondiente transductor (sensor) a un valor de tensión analógica capaz de ser procesada por un sistema electrónico. Asimismo, el sistema electrónico proporcionará a los correspondientes efectores (altavoces, motores, calefactores, etc.) una tensión analógica que determine su actuación. Los sistemas digitales emplean los valores numéricos codificados en binario, en palabras digitales compuestas por ceros y unos; ello proporciona a los sistemas digitales alta fiabilidad y precisión, conseguidas por la perfecta distinción física entre el 0 y el 1, y una gran potencia de cálculo, derivada de la utilización de un sistema de numeración y de la capacidad de integración de funciones booleanas de altísima complejidad. En la frontera (interfaz) entre las señales analógicas procedentes del medio físico o destinadas a interferir con él y las señales digitales que procesa el sistema electrónico se requieren conversores que pasen los valores numéricos del campo analógico al digital y viceversa: conversores A/D y D/A. Funcionamiento de un D/A

Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la conversión de analógico a digital A/D. Básicamente, la conversión D/A es el proceso de tomar un valor representado en código digital (código binario directo o BCD) y convertirlo en unvoltaje o corriente que sea proporcional al valor digital. Este voltaje o corriente es una cantidad analógica, ya que puede tomar diferentes valores de cierto intervalo. DAC de 4bits. “A” es el LSB y “D” es el MSB. Las entradas digitales D, C, B y A se derivan generalmente del registro de salida de un sistema digital. Los 2

4 =

16 diferentes números binarios representados por estos 4 bits se enlistan en la tabla siguiente. Por cada número de entrada, el voltaje de salida del convertidor D/A es un valor distinto. De hecho, el voltaje de salida analógico Vout es igual en voltios al número binario (no es así en todos los casos). También podría tener dos veces el número binario o algún otro factor de proporcionalidad. La misma idea sería aplicable si la salida del D/A fuese la corriente Iout.

Page 118: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

8

Entrada digital Salida analógica

D C B A Vout en voltios

0 0 0 0 0

0 0 0 1 1

0 0 1 0 2

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

1 0 0 1 9

1 0 1 0 10

1 0 1 1 11

1 1 0 0 12

1 1 0 1 13

1 1 1 0 14

1 1 1 1 15

RESOLUCIÓN DE UN DAC Se define como la mínima variación que puede ocurrir en la salida analógica como resultado de un cambio en la entrada digital. En el caso anterior, se observa que la resolución es de 1V. Aunque la resolución puede expresarse como la cantidad de voltaje o corriente por etapa, resulta más útil expresarla como un porcentaje de la salida de escala completa. El DAC descrito en la tabla tiene una escala de 15 - 0 = 15V, el tamaño de la etapa es de 1V (la etapa es el cambio de la señal de salida ante un cambio de la señal de entrada de un valor a otro consecutivo). La expresión que define a la resolución de un DAC es la siguiente: DAC construido con un amplificador operacional Existen varios métodos y circuitos para producir para producir la operación D/A que se ha descrito. Uno de ellos es el que se muestra en la figura anterior. Las entradas A, B, C y D son entradas binarias que se suponen tienen valores 0V o 5V. El amplificador operacional sirve como amplificador sumador, el cual produce la suma con valor asignado de estos voltajes de entrada. La expresión que describe la operación de este DAC es la siguiente:

Vout = -( Rf/R1 Vd + Rf/R2 Vc + Rf/R3 Vb + Rf/R4 Va )

ESPECIFICACIONES DAC Se dispone de una amplia variedad de DAC como circuitos integrados o bien como paquetes encapsulados autocontenidos. Uno debe estar familiarizado con las especificaciones más importantes de los fabricantes a fin de evaluar un DAC en una determinada aplicación.

- Resolución Como se mencionó antes, la resolución porcentual de un DAC depende únicamente del número de bits. Por esta razón, los fabricantes por lo general especifican una resolución de DAC como el número de bits. Un DAC de 10 bits tiene una resolución más sensible (mayor exactitud) que uno de 8 bits.

Page 119: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

1

9

- Precisión Los fabricantes de DAC tienen varias maneras de especificar la precisión o exactitud. Las dos más comunes se las llama Error de Escala Completa y Error de Linealidad, que normalmente se expresan como un porcentaje de la salida de escala completa del convertidor (%FS). El error de escala completa es la máxima desviación de la salida del DAC de su valor estimado (teórico).

E1 error de linealidad es la desviación máxima en el tamaño de etapa del teórico. Algunos de los DAC más costosos tienen errores de escala completa y de linealidad en el intervalo 0.01% - 0.1%. - Tiempo de respuesta La velocidad de operación de un DAC se especifica como tiempo de respuesta, que es el tiempo que se requiere para que la salida pase de cero a escala completa cuando la entrada binaria cambia de todos los ceros a todos los unos. Los valores comunes del tiempo de respuesta variarán de 50ns a 10ms. En general, los DAC con salida de corriente tendrán tiempos de respuesta más breves que aquellos con una salida de voltaje. Por ejemplo, el DAC 1280 puede operar como salida de corriente o bien de voltaje. Su tiempo de respuesta a su salida es 300ns cuando se utiliza salida de corriente 2.5ms cuando se emplea salida de voltaje. El DAC 1280 es un convertidor D/A construido con un amplificador sumador. - Voltaje de balance En teoría, la salida de un DAC será cero voltios cuando la entrada binaria es todos los ceros. En la práctica, habrá un voltaje de salida pequeño producido por el error de desbalance del amplificador del DAC. Este desplazamiento es comúnmente 0.05% FS. Casi todos los DAC con voltaje tendrán una capacidad de ajuste de balance externo que permite eliminar el error de desbalance.

A un valor concreto N le corresponderá una tensión Vo = R'.Vref./ RN, que puede ser ajustada al valor deseado mediante la resistencia RN; caso de que la función f(N) adopte también valores negativos, bastará conectar las resistencias correspondientes a una tensión de referencia positiva +Vref..

Page 120: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

0

Page 121: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

1

3.2.1. Tipos

CONVERTIDOR D/A EN ESCALERA (RESISTENCIAS R-2R). Este tipo de convertido puede verse en el siguiente esquema. Resuelve el problema planteado con el convertidor anterior, en la que la red de resistencias está constituida exclusivamente por dos valores de resistencias, normalmente R y 2R.

Este tipo de configuración de resistencias posee varias propiedades interesantes. Una de ellas consiste en que la resistencia que se aprecia desde cada uno de los nudos 1, 2, ..., n-1, mirando hacia cualquier dirección es siempre la misma e igual a 2R. (según el teorema deThevenin). Esto da lugar a que cualquier corriente procedente de los conmutadores, en estado 1, a través de una resistencia 2R, de divide

Page 122: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

2

en los nudos en dos corrientes iguales de valor mitad a la corriente entrante. Cada vez que esta corriente, en progresión hacia el amplificador operacional, atraviese un nuevo nudo, se volverá a dividir, entrando al amplificador operacional con un valor inversamente proporcional a una potencia de 2, dependiendo del número de nudos. La tensión de salida será: V0 = -VR/2

n (Sn-1.2

n-1 + Sn-2.2

n-2 +..+ S1.2

1 + S0.2

0)

Siendo Si de valor 0 ó 1, según los valores de los bits de entrada. Otra ventaja de este convertidor es que, la impedancia desde el operacional es constante (3R), cualquiera que sea el contenido de las entradas, con lo que se consigue un mejor funcionamiento del amplificador operacional al controlar más fácilmente los offset, colocando en la entrada no inversora una resistencia de valor 3R. Por último resulta más sencillo conseguir resistencias precisas y estables de un par de valores o tres, que un alto número de valores.

3.2.2. Aplicaciones

Los DAC se utilizan siempre que la salida de un circuito digital tiene que ofrecer un voltaje o corriente analógico para impulsar o activar un dispositivo analógico. Algunas de las aplicaciones más comunes se describen a continuaciones.

- Control: la salida digital de una computadora puede convertirse en una señal de control analógica para ajustar la velocidad de un motor, la temperatura de un horno o bien para controlar casi cualquier variable física. - Análisis automático: las computadoras pueden ser programadas para generar las señales analógicas (a través de un DAC) que se necesitan para analizar circuitos analógicos. La respuesta de salida analógica del circuito de prueba normalmente se convertirá en valor digital por un ADC y se alimentará a la computadora para ser almacenada, exhibida y algunas veces analizada. - Control de amplitud digital: un DAC multiplicativo se puede utilizar para ajustar digitalmente la amplitud de una señal analógica. Recordemos que un DAC multiplicativo produce una salida que es el producto de un voltaje de referencia y la entrada binaria. Si el voltaje de referencia es una señal que varía con el tiempo, la salida del DAC seguirá esta señal, pero con una amplitud determinada por el código de entrada binario. Una aplicación normal de esto es el “control de volumen” digital, donde la salida de un circuito o computadora digital puede ajustar la amplitud de una señal de audio. - Convertidores A/D: varios tipos de convertidores A/D utilizan DAC’s que son parte de sus circuitos.

Page 123: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

3

UNIDAD 4 Lenguajes HDL

4.1. Dispositivos lógicos programables

Un dispositivo lógico programable, o PLD (Programmable Logic Device), es un dispositivo cuyas características pueden ser modificadas y almacenadas mediante programación. El principio de síntesis de cualquier dispositivo lógico programable se fundamenta en el hecho de que cualquier función booleana puede ser expresada como una suma de productos. El dispositivo programable más simple es el PAL (Programmable Array Logic). El circuito interno de un PAL consiste en un arreglo, o matriz, de compuertas AND y un arreglo de compuertas OR. El arreglo AND es programable mientras que el OR generalmente es fijo. Mediante una matriz de conexiones se seleccionan cuales entradas serán conectadas al arreglo AND, cuyas salidas son conectadas al arreglo OR y de esta manera obtener una función lógica en forma de suma de productos. Una matriz de conexiones es una red de conductores distribuidos en filas y columnas con un fusible en cada punto de intersección. La mayoría de los PLDs están formados por una matriz de conexiones, una matriz de compuertas AND, y una matriz de compuertas OR y algunos, además, con registros. Con estos recursos se implementan las funciones lógicas deseadas mediante un software especial y un programador. Las matrices pueden ser fijas o programables. El tipo más sencillo de matriz programable, que data de los años 60, era una matriz de diodos con un fusible en cada punto de intersección de la misma. En la figura 1.1 se muestran los circuitos básicos para la mayoría de los PLDs.

4.1.1. Tipos

1. Clases de Dispositivos Lógicos Programables. 1.1. Circuitos integrados a medida. Los Circuitos Integrados a Medida (Full Custom), se diseñan a petición de un cliente para que resuelvan una determinada aplicación. Conllevan un alto coste de desarrollo y su empleo sólo se justifica para volúmenes de producción muy elevados. El tiempo necesario para la construcción de un CI a medida es considerable ya que puede oscilar de unos meses a unos años. 1.2. Matrices de puertas. Las Matrices de puertas (Gate Arrays) son pequeños trozos de silicio pendientes de algún proceso de metalización que defina las conexiones entre un importante número de puertas o transistores que poseen en su

Page 124: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

4

interior. Las matrices de puertas proporcionan densidades superiores a las 100.000 puertas, con un aprovechamiento del 80 al 90 por 100 para los dispositivos pequeños y del 40 por 100 para los grandes. Los fabricantes de silicio ponen a disposición de sus potenciales clientes abundante documentación sobre estos Gate Arrays, con una serie de macros que pueden utilizar de forma inmediata y otras que pueden construirse ellos mismos. Los macros son agrupaciones de un número de células básicas que realizan funciones comunes como; sumadores; puertas NOT, AND, NAND, NOR XOR, etc.; latches y flip-flops S-R, J-K, D; buffer; osciladores; registros, decodificadores, multiplexores, etc. Junto a esta documentación, los fabricantes aportan un software que contabiliza el número de células básicas utilizadas por todas las macros, sugiere el Gate Array adecuado para la aplicación, calcula la potencia disipada por el Gate Array que alojará el diseño del cliente, proporciona información sobre los tiempos de propagación de las señales y permite verificar el funcionamiento del circuito. Una vez superadas todas las etapas previas, el cliente envía la documentación generada al fabricante para que éste ultime los procesos de metalización y fabrique un primer prototipo. El diseño con Gate Arrays puede durar semanas o meses. Requiere un volumen alto de circuitos para justificar sus costes. 1.3. Células normalizadas. Las células normalizadas (Standard Cell) son, en cierta forma, similares a las matrices de puertas. Su principal ventaja sobre ellas es que en lugar de trabajar con simples puertas o transistores, se dispone de colecciones de diferentes partes de circuitos que han sido depurados (puertas lógicas, circuitos MSI, RAM estáticas, ficheros de registro, etcétera). El usuario tiene que ensamblar estos circuitos, verificarlos y finalmente enviar documentación al fabricante de silicio para el desarrollo del primer prototipo. A pesar del concepto de célula normalizada, los períodos y los costes de desarrollo son superiores a los de las matrices de puertas. En las matrices de puertas sólo hay que realizar la máscara final que define las conexiones entre las puertas, mientras que en las células normalizadas, hay que realizar máscaras para todos los procesos de producción de los CI. Una vez más, el volumen de fabricación deberá ser los suficientemente alto como para amortizar la inversión económica realizada en el desarrollo. 1.4. FPICs. Los FPICs (Field Programmable Integrated Circuits): son chips programables por el usuario mediante programadores comerciales. El término FPIC también incluye a los CI no destinados a las aplicaciones lógicas. Son las memorias, los microcontroladores, los PLD (Programmable Logic Device), las FPGA (Field Programmable Gate Array) y los ASPLD (Aplication Specific Programmable Logic Devices). Los FPIC ofrecen soluciones de bajo coste, de tiempo de desarrollo corto y con menor riesgo que los circuitos a medida, las matrices de puertas y las células normalizadas. 1.4.1. PLDs. Los PLDs (Programmable Logic Devices) son pequeñas ASICs configurables por el usuario capaces de realizar una determinada función lógica. La mayoría de los PLD consisten en una matriz de puertas AND seguida de otra matriz de puertas OR. Mediante esta estructura, puede realizarse cualquier función como suma de términos productos. Aunque las memorias PROM, EPROM y EEPROM son PLDs, muchas veces se las excluye de esta denominación debido a que su contenido se define utilizando elementos de desarrollo propios de microprocesadores, tales como; ensambladores, emuladores y lenguajes de programación de alto nivel. Otras veces, cuando estas memorias se usan para realizar una función lógica y no para guardar un programa de un microprocesador, se las incluye dentro del término PLD. 1.4.2. ASPLDs. Los ASPLDs (Application Specific Programmable Logic Devices) son PLDs diseñados para realizar funciones especificas como, decodificadores de alta velocidad, secuenciadores, interfaces para buses particulares, periféricos programables para microprocesadores, etc.

Page 125: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

5

Partes del ASPLD son programables permitiendo la adaptación del circuito a una aplicación determinada, pero manteniendo su función básica; así, por ejemplo, un decodificador lo personaliza el usuario, pero sigue siendo un decodificador. Estos circuitos están muy optimizados para la función para la que han sido diseñados. Los decodificadores sólo tienen un término producto, carecen de puertas OR y resultan por consiguiente muy rápidos; por otro lado, los circuitos de interface para buses normalmente tienen un Fan-Out elevado. 1.4.3. FPGAs. Las FPGAs (Field Programmable Gate Arrays) contienen bloques lógicos relativamente independientes entre sí, con una complejidad similar a un PLD de tamaño medio. Estos bloques lógicos pueden interconectarse, mediante conexiones programables, para formar circuitos mayores. Existen FPGAs que utilizan pocos bloques grandes (Pluslogic, Altera y AMD) y otras que utilizan muchos bloques pequeños (Xilinx, AT&T, Plessey, Actel). A diferencia de los plds, no utilizan arquitectura de matriz de puertas AND seguida de la matriz de puertas OR y necesitan un proceso adicional de ruteado del que se encarga un software especializado. La primera FPGA la introdujo Xilinx en el año 1985. La programación de las FPGAs de Xilinx basadas en RAM estática es diferente a la programación de los PLDs. Cada vez que se aplica la tensión de alimentación, se reprograma con la información que lee desde una PROM de configuración externa a la FPGA. Una FPGA basada en SRAM (RAM estática) admite un número ilimitado de reprogramaciones sin necesidad de borrados previos. En general la complejidad de una FPGA es muy superior a la de un PLD. Los PLD tienen entre 100 y 2000 puertas, las FPGAs tienen desde 1200 a 20.000 puertas y la tendencia es hacia un rápido incremento en la densidad de puertas. El número de flip-flops de las FPGA generalmente supera al de los PLD. Sin embargo, la capacidad de la FPGA para realizar lógica con las entradas suele ser inferior a la de los PLD. Por ello: "los diseños que precisan lógica realizada con muchas patillas de entrada y con pocos flip-flops, pueden realizarse fácilmente en unos pocos PLDs, mientras que en los diseños en los que intervienen muchos registros y no se necesita generar combinaciones con un elevado número de entradas, las FPGAs pueden ser la solución óptima".

4.1.2. Características

Los PLDs están situados en una zona intermedia entre los dispositivos a medida y la lógica de catálogo formada por los CI de función fija. Tienen casi todas las ventajas de los ASICs sin estar penalizados por un costo elevado para pequeñas series. Además el ciclo de diseño con PLDs es mucho más rápido que los de las matrices de puertas o las células normalizadas. En determinadas aplicaciones, un PLD puede sustituir desde unos pocos hasta unas decenas de CI de función fija, mientras que los grandes ASICs pueden sustituir a cientos e incluso miles de CI. En ocasiones, los PLD se utilizan para realizar prototipos que posteriormente se llevarán a un ASIC más complejo. El trabajo con PLDs proporciona: facilidad de diseño, prestaciones, fiabilidad, economía y seguridad. 2.1. Facilidad de diseño Las herramientas de soporte al diseño con PLDs facilitan enormemente este proceso. Las hojas de codificación que se utilizaban en 1975 han dejado paso a los ensambladores y compiladores de lógica programable (PALASM, AMAZE, ABEL, CUPL, OrCAD/PLD, etc.). Estas nuevas herramientas permiten expresar la lógica de los circuitos utilizando formas variadas de entrada tales como; ecuaciones, tablas de verdad, procedimientos para máquinas de estados, esquemas, etc. La simulación digital posibilita la depuración de los diseños antes de la programación de los dispositivos. Todo el equipo de diseño se reduce a un software de bajo coste que corre en un PC, y a un programador.

Page 126: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

6

2.2. Prestaciones. Los PLDs TTL que hay en el mercado tienen tiempos de conmutación tan rápidos como los circuitos integrados de función fija más veloces. Los PLDs ECL son todavía más rápidos. Sin embargo, el incremento de velocidad obtenido con los dispositivos CMOS, que ya han igualado o superado en prestaciones a los dispositivos TTL, está provocando el abandono de la tecnología bipolar por parte de los fabricantes. En cuanto al consumo de potencia, los PLDs generalmente consumen menos que el conjunto de chips a los que reemplazan. 2.3. Fiabilidad. Cuanto más complejo es un circuito, más probabilidades hay de que alguna de sus partes falle. Puesto que los PLDs reducen el número de chips en los sistemas, la probabilidad de un fallo disminuye. Los circuitos impresos con menor densidad de CI son más fáciles de construir y más fiables. Las fuentes de ruido también se reducen. 2.4. Economía. En este apartado, hay aspectos que resultan difíciles de cuantificar. Por ejemplo, los costes de pérdida de mercado por una introducción tardía de un producto. Otros son más claros, por ejemplo, la reducción del área de las placas de circuito impreso obtenida gracias a que cada PLD sustituye a varios circuitos integrados de función fija. Muchas veces se consigue reducir el número de placas de circuito impreso economizándose en conectores. La reducción de artículos en almacén también aporta ventajas económicas. De la misma manera que para altos volúmenes de producción las memorias ROM resultan de menor coste que las EPROM, las HAL (Hard Array Logic) o PLDs programados por el fabricante proporcionan ahorros adicionales en grandes cantidades. 2.5. Seguridad. Los PLDs tienen fusibles de seguridad que impiden la lectura de los dispositivos programados, protegiendo los diseños frente a copias. Además de los puntos mencionados, podemos añadir que los PLDs facilitan el ruteado de las placas de circulo impreso debido a la libertad de asignación de patillas que proporcionan. Permiten realizar modificaciones posteriores del diseño y en ocasiones hacen posible la reutilización de circuitos impresos con algunos fallos, mediante una reasignación de los PLDs.

4.1.3. Fabricantes

Son varios los fabricantes de dispositivos PLD. Aquí sólo ofrecemos una muestra de los mas especializados. Especial atención merece Altera, pues es líder del sector en algunos tipos de PLDs, como los CPLD.

http://www.altera.com

http://www.latticesemi.com/

http://www.xilinx.com/

http://www.cypress.com

Actel (http://www.actel.com/)

Altera Corp. (http://www.altera.com/)

Page 127: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

7

Atmel Corp. (http://www.atmel.com/)

Chip Express (http://www.chipexress.com/)

Cypress Sem.(http://www.cypress.com/)

Lattice Sem. (http://www.latticesemi.com/)

Quicklogic Corp. (http://www.quicklogic.com/)

Xilinx Inc. (http://www.xilinx.com/)

Page 128: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

8

4.1.4. Pasos para el diseño con PLD’s

Existen en la actualidad infinidad de arquitecturas diferentes de PLDs y su número se incrementa día a día. Aunque resulta casi imposible hacer una referencia completa de todos los tipos de PLDs en el mercado, en este

Page 129: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

2

9

trabajo sólo se presentarán algunas de las más comunes y una amplia lista de las distintas PLDs que podemos encontrar en el mercado.

CLASES DE PLDs. Ya que generalmente los PLDs disponen de muchas entradas y resultaría muy complicado mostrarlas en un dibujo, se utiliza una representación simplificada, según la cual, para las puertas AND sólo se dibuja una línea de entrada llamada línea producto. Esta línea se cruza con dos líneas por cada entrada (entrada directa y entrada invertida), pudiendo existir un fusible en cada intersección. Aunque sólo se dibuja una línea de entrada por cada puerta AND, en realidad esta puerta tiene tantas entradas como intersecciones de la línea producto. Si en una intersección hay una X, significa que el fusible está intacto; sí no hay una X, el fusible esta fundido y no existe la conexión. En ocasiones, las puertas OR también se dibujan con una sola entrada. En el diagrama simplificado de la figura 3.1.1 aparece una matriz de puertas AND de seis entradas, cuyas salidas están conectadas a una puerta OR. La intersección de las líneas producto con las líneas de entrada forman una matriz de puertas AND programable de 6x3 fusibles. El circuito está programado para realizar la función OR -exclusiva entre las entradas A y B-. La puerta AND inferior está marcada con una X. Significa que todos sus fusibles están intactos y que su salida es 0. Cuando se funden todos los fusibles de una línea producto, la salida de la puerta AND asociada es 1.

PAL (Programmable Array Logic). También llamados PLAs, son un tipo de PLDs en las que se pueden programar las uniones en la matriz de puertas AND, siendo fijas las uniones en la matriz de puertas OR (Figura 3.1.2). Los dispositivos con arquitectura PAL son los más populares y los más utilizados, razón ésta por la que dedicamos el siguiente capítulo, para analizarlos más a fondo.

Page 130: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

0

FPLA (Field Programmable Logic Array). Es un PLD en el que se pueden programar las uniones en ambas matrices (Figura 3.1.3). Son los dispositivos más flexibles, pero resultan penalizados en tamaño y en velocidad debido a los transistores adicionales en la matriz de puertas OR. Se utilizan fundamentalmente para construir máquinas de estados. Para otras aplicaciones, las PAL resultan más efectivas. Las PAL y las FPLA son sistemas combinacionales incompletos porque teniendo n entradas, disponen de menos de 2n términos producto.

Page 131: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

1

PROM (Programmable Read Only Memory). Es un PLD en el que las uniones en la matriz de puertas AND es fija, siendo programables las uniones en la matriz de puertas OR (véase Figura 3.1.4). Una PROM es un sistema combinacional completo que permite realizar cualquier función lógica con las n variables de entrada, ya que dispone de 2n términos productos. Están muy bien adaptadas para aplicaciones tales como: tablas, generadores de caracteres, convertidores de códigos, etc. Generalmente las PROM tienen menos entradas que las PAL y FPLA. Se pueden encontrar PROM con capacidades potencia de 2, que van desde las 32 hasta las 8192 palabras de 4, 8 o 16 bit de ancho.

Page 132: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

2

CONSUMO DE CORRIENTE EN LOS PLDs. En la fabricación de PLDs se utiliza tecnología bipolar TTL o ECL y tecnología CMOS. Los dispositivos bipolares son más rápidos y consumen más que los dispositivos CMOS. Actualmente los PLDs bipolares presentan retardos de propagación inferiores a 7 nsg y los consumos típicos rondan los 100-200 mA para un chip con 20-24 patillas. Mientras los PLDs bipolares sólo pueden programarse una vez, la mayoría de los PLDs CMOS son reprogramables y permiten una fácil verificación por parte del usuario. A los PLDs CMOS borrables por radiación ultravioleta se les denomina EPLD y a los borrables eléctricamente se les conoce por EEPLD. Los EEPLD con encapsulados de plástico son más baratos que los EPLD provistos de ventanas de cuarzo que obligan a utilizar encapsulados cerámicos. También existen las PALCE16V8Q (Quarter Power Icc = 55 mA) y las PALCE16V8Z (Zero Power) con un bajísimo consumo estático de potencia. Acostumbrados a trabajar con dispositivos CMOS con un consumo prácticamente nulo a frecuencia cero, resulta sorprendente una PAL CMOS con un consumo de 90 mA a la máxima frecuencia de operación (15 Mhz), pero que todavía tendrá un consumo apreciable a frecuencia cero. En la actualidad, solamente una pequeña fracción de los PLDs del mercado se anuncian como Zero Power.

Page 133: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

3

La razón de estos consumos reside en que no existe una célula de memoria EPROM o EEPROM que sea verdaderamente CMOS. La mayoría de los PLDs CMOS se construyen con un núcleo programable de transistores N-MOS, y solamente las entradas y las salidas del PLD utilizan drivers CMOS. La matriz de transistores NMOS precisa de una alimentación continua (Figura 3.2.1), para poder responder con rapidez.

Para rebajar los consumos de la matriz de transistores NMOS se utilizan dos técnicas. La primera de ellas consiste en dotar al PLD de una patilla o fusible de control de consumo de potencia (patilla o fusible Power Down), que quita la alimentación a la matriz de transistores cuando el PLD se encuentra fuera de servicio, proporcionando un menor consumo de potencia. Tiene los inconvenientes de que la puesta en funcionamiento del PLD es más lenta. La segunda técnica (Figura 3.2.2) coloca en las entradas de los PLDs unos detectores de transición de estado, que conectan la alimentación a la matriz de transistores durante un breve instante de tiempo después de que una entrada haya cambiado. Este tiempo deberá permitir el cambio de las salidas y su almacenamiento en latches, tras lo cual se puede quitar de nuevo la alimentación a la matriz de transistores. El detector de transición de estado de las entradas se obtiene metiendo a las dos entradas de una puerta OR-exclusiva el estado de una patilla de entrada y el estado de esa misma patilla demorada un tiempo. El tiempo de demora de las patillas de entrada será igual al tiempo durante el cual se mantendrá la alimentación a la matriz de transistores. Los detectores de transición de las entradas y los latches de las salidas se mantienen constantemente alimentados. El consumo de corriente de los PLDs que utilizan esta segunda técnica aumenta lógicamente si se incrementa la frecuencia de cambio de las entradas.

Page 134: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

4

Cómo se catalogan los PLDs. Si consultamos las hojas de datos de una PALCE16V8H-20, encontramos claves que permiten extraer valiosa información del nombre del dispositivo. La información incluida en el nombre nos indica:

PAL Programmable Array Logic.

CE C-MOS Electrically Erasable.

16V8 16 Entradas a la matriz de puertas AND y ocho salidas.

H Half Power (lec = 90 mA).

20 Tiempo de propagación = 20 nsg.

PROGRAMABLE LOGIC ARRAYS (PLA's). Estructura básica de un PLA. Un PLA es un bloque funcional que se utiliza para implementar multifunciones booleanas. Existe una gran relación entre su estructura interna y el conjunto de funciones que realiza...

Descripción de su estructura. Un PLA está constituido básicamente por dos submatrices o planos denominados plano AND y OR, respectivamente. Ambos planos están separados entre sí por una pequeña zona divisoria denominada zona de conexión. Tanto el plano AND como el plano OR disponen, a su vez, de dos zonas externas denominadas

buffers o separadores de entrada y de salida. Las señales de entrada del PLA ( )

llegan a los buffers de entrada del plano AND y producen las señales invertidas( ).

Ambos tipos de señales ( ) penetran verticalmente en el plano AND y generan los términos producto pi. Estos últimos discurren horizontalmente por ambos planos, atravesando previamente la zona de conexión, y producen finalmente las salidas del PLA mediante la realización de sumas lógicas entre los términos productos anteriores. Además de las zonas mencionadas, existen otras dos regiones especiales. Una de ellas está situada a la izquierda del plano AND y la otra en la parte superior del plano OR. Estas regiones están constituidas por transistores del "pull-up", que actúan como resistencia de carga, a través de los cuales se alimentan las líneas de los términos producto y las líneas de salida del PLA respectivamente. En la siguiente ilustración se muestra un esquema global de su estructura:

Page 135: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

5

La realización física de un PLA se lleva a cabo mediante la conexión de cada una de las celdas que pertenecen a las regiones anteriores (buffers de entrada, plano AND, transistores de pull-up del plano AND, conexión AND-OR, plano OR, transistores de pull-up del plano OR y buffers de salida). De todas estas celdas, sólo las de los planos AND y OR están relacionadas con las funciones lógicas que definen el circuito. El resto hace referencia a otros factores externos ajenos a la lógica propiamente dicha. En algunos casos, estos factores han de ser tenidos en cuenta si se quiere hacer una estimación realista del área final ocupada por el PLA. Esto ocurre, por ejemplo, cuando se aplican técnicas de optimización en las que se modifica el número de entradas y/o salidas (técnicas de partición).

Representación matricial. Los PLA's sirven para representar multifunciones booleanas expresadas mediante dos niveles de puertas. Sea, pues, una multifunción F formada por s funciones simples fi cada una de ellas dependiente de m variables

distintas . Supongamos también que es necesario desarrollar n productos lógicos distintos con las variables dependientes xi para expresar todas y cada una de las funciones fi mediante sumas de productos. Entonces, el

Page 136: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

6

PLA asociado a la multifunción F, puede representarse por una matriz, C, formada por n filas y m+s columnas. Cada una de estas filas Ci se define del modo siguiente: "j : 1..m (plano AND). Cij = 0 si xj está complementada en el término producto Ci. Cij = 1 si xj no está complementada en el término producto Ci. Cij = 2 si xj no aparece en el término producto Ci. "j : m + 1... m + s (plano OR). Cij = 3 si Ci no forma parte de la función Fj· m Cij = 4 si Ci forma parte de la función Fj· m De la definición anterior se deduce que todos los 2's de la matriz C representan elementos vacíos en las m primeras columnas pertenecientes al plano AND o submatriz de entradas. Igualmente ocurre con los 3's en las columnas restantes del plano OR o submatriz de salidas. Por elemento vacío se entiende aquella posición del PLA en la que no existen conexiones.

Así, por ejemplo la multifunción

,

, se representa mediante la matriz de cobertura de la figura 4.3.1.

X1 X2 X3 X4 X5 X6 F1 F2 F3

2 2 0 2 2 1 4 3 3

2 0 2 1 2 2 3 4 3

1 2 2 2 2 0 4 3 3

0 2 2 2 1 2 3 4 3

1 2 2 2 2 2 3 3 4

2 2 2 2 2 1 3 4 3

Figura 4.3.1: Matriz de cobertura. En muchos casos conviene utilizar otra representación matricial más simple del PLA denominada matriz de personalidad. Esta nueva matriz se define a partir de la matriz de cobertura del modo siguiente:

" J: = 1...m Bij = 1 si Cij = 0 ó 1. Bij = 0 si Cij = 2.

"j := m + 1... m + s Bij = 1 si Cij = 4. Bij = 0 si Cij = 3.

Es decir, un 1 en la j-ésima columna e i-ésima fila del plano AND indica que la columna j es un factor del término producto i, mientras que un 1 en la j-ésima columna e i-ésima fila del plano OR indica que el término producto i es un término de la salida j-m. La figura 4.3.2 corresponde a la matriz de personalidad asociada a la matriz de cobertura de la figura 4.3.1.

X1 X2 X3 X4 X5 X6 F1 F2 F3

0 0 1 0 0 1 1 0 0

0 1 0 1 0 0 0 1 0

1 0 0 0 0 1 1 0 0

1 0 0 0 1 0 0 1 0

1 0 0 0 0 0 0 0 1

0 0 0 0 0 1 0 1 0

Figura .4.3.2. Matriz de personalidad.

Page 137: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

7

La representación de un PLA mediante su matriz de personalidad respectiva nos ayudará a resolver los problemas planteados en la optimización lógica y topológica de PLA's. 5 PLAs. La estructura de los PLAs se muetra en la figura A.1.1, y un ejemplo específico se encuentra en la figura A.1.2, encontrandose las arquitecturas PLA listadas en la tabla A.1. La estructura de los secuenciadores se encuentran en la figura A.1.3, las arquitecturas de los secuenciadores estan listadas en la tabla A.2. .

Page 138: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

8

Page 139: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

3

9

ESTRUCTURA DE LOS DISPOSITIVOS PAL. General Los dispositivos PAL son PLAs con o sin array OR, pero un conjunto de puertas OR que suman grupos de productos. La estructura de los dispositivos PALs combinacionales se muestra en la figura A.2.1.1. Los dispositivos combinacionales de 20 pins estan listados en la Tabla A.3, y los de 25 pins estan listados en la Tabla A.4.

Los decodificadores, o los Field Programmable Gate Arrays (FPGAS) son PALs como los PLDs combinacionales, que generalmente no tienen array OR; por lo que los productos son tomados directamente hacia las salidas. Estos son particularmente útiles para la decodificacion de direcciones, cuyas arquitecturas están listadas en la Tabla A.5.

Page 140: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

0

Las PALs registradas tienen registros de salida alimentados desde el array logico como el dispositivo mostrado en la Figura A.2.1.1.1 Las Tablas A.6 y A.7 listan las arquitecturas. Todos estos dispositivos tienen un reloj externo común, siendo estos apropiados para diseños síncronos.

Page 141: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

1

Células de salida programables y arquitecturas genéricas. Para servir al mayor número posible de aplicaciones, se requiere un gran número de arquitecturas PAL. Para acabar con este problema, han sido concebidas las PALs con arquitectura genérica. Aquí hay dispositivos con células de salida, o macro células, de configuracion varible. Cada uno de los dispositivos genéricos es capaz de emular un número de dispositivos de arquitectura fija en suma para ser adaptables en una única arquitectura. El primer PAL genérico fue el 22V10. Siendo capaz de generar señales de reloj registradas que internamente incrementan la flexibilidad de un dispositivo PAL registrado, permitiendo su uso en sistemas con varios relojes, y para su uso también en circuitos sin reloj. Encontrándose este tipo de PALs en la Tabla A.8. PLDs DE ARRAY MULTINIVEL Estos son dispositivos con la estructura de la Figura 3.27. Las arquitecturas disponibles son: PLHS501, PLHS502: `Programmable Macro Logic' que son dispositivos basados en un array NAND. 78C800: Un dispositivo borrable basado en un array NOR. AGA-1K16, AGA-1K16P4: RAM-based `Alterable Gate Arrays' basados en una array NAND. OTROS TIPOS DE PLDs. Debido a la constante evolución de los PLDs, aunque intentemos catalogarlos, hoy en día existen una gran cantidad de PLDs con estructuras diferentes a las consideradas en este trabajo, por lo que aunque por mucho que nos esforcemos en obtener una lista más o menos reciente, siempre habrán algunos modelos que no se muestren aquí. PLDs Microprogramados: 29CPL141, 29CPL142, 29CPL144, 29CPL151, 29CPL152, 29CPL154 14R21 'PROSE' EPS444, EPS448 -SAM' Bus Interface PLDS: 5CBIC, 85C960. EPBI400'Buster'. PLX448, PLX464. MCA1200, EPB2001, EPB2002 (for Micro Channel). VME3000 (for VME bus) PLD for parallel controllers: - 7C361 PLDs with Matrix or Partitioned Arrays: XC2064, XC2018, XC3020, XC3030, XC3042, XC3064, XC3090 (Logic Cell Arrays). ACT1 (gate array structured with universal combinational celis). ERA60100 (gate array structured with 2-input NAND cells) EPM5016, EPM5024, EPM5032, EPM5064, EPM5127, EPM5128 ('MAX') PA7024, PA7028, PA7040, PA7068 ('PEEL Arrays') CAL1024 (Algatronix configurable array)

Page 142: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

2

Page 143: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

3

Dispositivos PLDs Aparte de los sistemas basados en CPU, existen distintas alternativas para implementar sistemas electrónicos digitales.

Circuitos comerciales estándar: Son los circuitos integrados con función lógica fija, como el 74LS00, el 4043 o el 74HCT00.

ASIC (Application Specific Integrated Circuit): Son c.i. específicos para una aplicación y se utilizan en realizaciones complejas que exigirían un número elevado de circuitos integrados de función fija. Están diseñados a medida y sólo sirven para una aplicación. Por regla general, los producen los fabricantes de c.i. con las especificaciones proporcionadas por el cliente.

PLD (Programmable Logic Device): Este es un término muy amplio pero lo limitaremos para definir a los circuitos integrados cuya funcionalidad puede ser programada por el usuario pero que internamente no tienen la estructura de microprocesadores y microcontroladores pues no están basados en una CPU que lea un programa de una memoria.

Page 144: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

4

Dispositivos PLDs Ventajas de los PLDs Un sólo circuito integrado PLD puede sustituir desde unos pocos hasta unas decenas o cientos de c.i. de función fija, mientras que los grandes ASICs pueden sustituir a cientos e incluso miles de c.i. Los PLDs están situados en una zona intermedia entre los dispositivos a medida y la lógica de catálogo formada por los c.i. de función fija. Tienen casi todas las ventajas de los ASICs sin estar penalizados por un costo elevado para pequeñas series. Además el ciclo de diseño con PLDs es mucho más rápido que los ASIC. En ocasiones, los PLD se utilizan para realizar prototipos que posteriormente se llevarán a un ASIC más económico para grandes series. Se utilizan para resolver problemas combinacionales o secuenciales. A igualdad de costo son muy superiores a los sistemas basados en CPU en aplicaciones de procesamiento de señales a alta velocidad. El trabajo con PLDs proporciona: facilidad de diseño con una importante reducción en el tiempo de desarrollo, altas prestaciones, fiabilidad, economía y seguridad, con una reducción en el tamaño de los equipos que los incorporan con la consiguiente reducción de costos.

Aplicaciones I Los PLDs hoy en día están presentes en la mayoría de los desarrollos debido a las ventajas que los mismos presentan frente a la lógica convencional, lo cual lleva a una gran variedad de aplicaciones. Uno de los campos de mayor aplicación son los sistemas de tratamiento de señal en tiempo real. Hay PLDs que pueden tratar señales de mas de 300 MHz o de 6 Gbps. Un sistema basado en CPU necesitaría ser muy potente para poder tratar señales a esas velocidades y muchisimo mas caro. En muchos casos se utilizan en sitemas junto a microcontroladores. Podemos encontrar PLDs en:

Comunicaciones inalámbricas.

Redes: Router, Switch.

Tratamiento de señales: vídeo, fotografía, TDT, DVD, mp3, consolas.

Aparatos de medida.

Aplicaciones militares.

Page 145: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

5

Dispositivos PLDs Aplicaciones II

Clasificación de PLDs

Desde el punto de vista de su complejidad vamos a dividirlos en dos grupos: SPLD (Simple Programmable Logic Device): Son circuitos integrados que utilizan matrices de puertas programables.

HCPLD (High Capacity Programable Logic Devices): Estos circuitos integrados están constituido por bloques internos programables, cada uno de una complejidad similar a un SPLD. Además de programar los bloques se programa como se interconectan internamente.

Page 146: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

6

Matrices Lógicas Programables I

Son dispositivos no volátiles y genéricamente consisten en una matriz de puertas AND seguida de otra matriz de puertas OR interconectadas a través de conexiones programables. Aprovechando que cualquier función se puede escribir como suma de productos, eliminando las conexiones adecuadas, se puede programar un número limitado de mintérminos (minterms).

Page 147: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

7

Matrices Lógicas Programables II

En este ejemplo podemos ver como se han eliminado todos las conexiones a excepción de las marcados con una "X", para conseguir dos funciones. Las PLDs que utilizan la estructura de matriz de puertas programable pueden tener fácilmente entre 100 y 2.000 puertas e incluso más. Además pueden incluir básculas y flip-flop lo que les permite resolver tanto problemas de lógica combinacional como secuencial. Esto significa que un sólo circuito integrado PLD podría sustituir en un montaje a cientos de integrados de función lógica fija.

Matrices Lógicas Programables III Existen varias clases de PLDs que emplean esta estructura: PLA (Programmable Logic Array): Tecnología bipolar. Programable por fusible una única vez. Matrices AND y OR programables. PAL (Programmable Array Logic): Tecnología bipolar. Programable por fusible una única vez. Programable sólo matriz AND, matriz OR fija. Ejemplos: 16L8 y 16R8 (20 patillas). EPLD (Erasable PLD): Grabable eléctricamente. Borrado por ultravioleta. Un sólo EPLD sustituye de 20 a 25 de los anteriores. GAL (Generic Array Logic): Grabable y borrable eléctricamente. Pensadas para sustituir a la mayoría de las PALs manteniendo la compatibilidad terminal a terminal. Son las que se utilizan actualmente. Ejemplos: 16V8, 20V8 y 16Z8.

Clasificación de los HCPLD

Los HCPLD se dividen básicamente en CPLD (Complex Programable Logic Devices) y FPGA (Field Programable Gate Array). Su diferencia básica reside en como se implementan los bloques lógicos y como se interconectan. CPLD (Complex PLD): Alberga en su interior grupos de SPLDs y añade otra serie de elementos que permite la interconexión en su interior de estos grupos.

Page 148: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

8

FPGA (Field Programable Gate Array): Los bloques de lógica se realizan bien mediante memoria RAM estática (Look-Up Table o LUT), o mediante multiplexores. La interconexión entre bloques se realiza mediante celdas de RAM estática y transistores o multiplexores, o bien mediante "antifusibles". Son los PLDs mas avanzados. Pueden tener el equivalente a mas de 20.000 puertas y un elevado número de registros, con integrados que pueden llegar a tener mas de 200 patillas. Pueden tratar señales de mas de 300 MHz o 6 Gbps por un bajo costo (ejemplos tratamiento de señales audio/vídeo y redes).

Programar PLDs

Para programar PLDs existen programas como PALASM, ABEL u OrCAD/PLD en los que se expresa la lógica de los circuitos de diversas formas: ecuaciones como suma de productos, tablas de verdad, esquemas, etc. Algunos son capaces de simplificar la lógica y de ser independientes de los dispositivos utilizando HDLs (Hardware Description Languages), como Verilog que tiene una sintaxis similar al C y VHDL (Very high speed integrated circuit HDL). A la derecha se muestra el contenido de un archivo escrito en ABEL de un diseño de lógica secuencial. Con él se implementa en la PAL 16R4 un simple contador binario de 4 bits. Este lenguaje utiliza ecuaciones booleanas que representan la lógica del contador.

4.2. Programación de circuitos combinacionales con HDL

VER ARCHIVO ADJUNTO 4.2 Programación de circuitos combinacionales con HDL EN PDF. PARTE I

4.2.1. Por captura esquemática

Page 149: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

4

9

4.2.2. Por tabla de verdad

4.2.3. Por ecuaciones booleanas

4.2.4. Por descripción de comportamiento

4.3. Programación de circuitos secuenciales con HDL

VER ARCHIVO ADJUNTO 4.2 Programación de circuitos combinacionales con HDL EN PDF. PARTE II

4.3.1. Por captura esquemática

4.3.2. Por tabla de verdad

4.3.3. Por ecuaciones booleanas

4.3.4. Por descripción de comportamiento

4.3.5. Por tabla de estado

4.3.6. Por diagrama de transición

Page 150: (Materia)Principios Eléctricos y Aplicaciones Digitales

Pri

nci

pio

s El

éctr

ico

s y

Elec

tró

nic

os

In

g. R

oyc

e R

od

rígu

ez

Cas

tillo

IS

C

TEC

_2

1

5

0

FUENTES DE INFORMACIÓN 1. TOCCI, RONALD J. Sistemas Digitales. Pearson Ed. 8ª Edición . ISBN: 9702602971 2. SERWAY, RAYMOND A., FAUGHN, JERRY S. FÍSICA, Ed. Pearson. 5a Edición. ISBN: 9702600154 3. TIPPENS, P. Física, Conceptos y aplicaciones, Ed. Mc Graw-Hill, 7ª Edición. ISBN: 9789701062609 4. WILSON, JERRY D. Física. Ed. Pearson. 5a Edición. ISBN: 9702604257 5. TIPLER, PAUL ALLEN. Física para la ciencia y la tecnología II, Ed. Reverté, S. A. 5ª Edición. ISBN: 9788429144123 6. SEARS and ZEMANSKY, HUGH D. YOUNG, FREEDMAN,ROGER A. Física Universitaria con física moderna volumen 2. Pearson Ed.. 12ª Edición. ISBN: 9786074423044 7. BOYLESTAD, ROBERT L. NASHELSKY, LOUIS. Electrónica: teoría de circuitos y dispositivos electrónicos. Ed. Pearson. 8ª Edición ISBN: 9702604362 8. BOYLESTAD, ROBERT L. NASHELSKY, LOUIS., Fundamentos de Electrónica, Ed. Pearson 4ª Edición. ISBN: 9688809578 9. MORRIS MANO M. Diseño Digital. Ed. Pearson. 3a. Edición. ISBN: 9702604389 10. HILBURN, JOHN I. , JOHNSON, DAVID E. , JOHNSON,JOHNNY R., SCOTT PETER D. Análisis básico de Circuitos Electrónicos. Ed. Pearson. 5ª Edición. ISBN: 9688806382. 11. BROWN, STEPHEN. Fundamentos de lógica digital con diseño VHDL. Ed. McGraw Hill. 2ª Edición. ISBN: 9789701056097. Año 2006 12. PARDO CARPIO, FERNANDO. VHDL. Lenguaje para síntesis y modelado de circuitos. Ed. RA-MA 2ª Edición. ISBN: 9788478975952 PRÁCTICAS PROPUESTAS (aquí sólo describen brevemente, queda pendiente la descripción con detalle). 1. Generación de corriente directa y corriente alterna. 2. Simulación y desarrollo de circuitos RLC de dos o más mallas. 3. Medición de voltaje, corriente, resistencia, inductancia, capacitancia en circuitos RLC. 4. Simulación y desarrollo de rectificadores. 5. Simulación y desarrollo de amplificadores e inversores. 6. Comprobación de tablas de verdad de compuertas básicas en circuitos integrados de función fija. 7. Simulación y desarrollo de medios sumadores y sumadores completos. 8. Simulación y desarrollo de medios restadores y restadores completos. 9. Simular y programar codificadores y decodificadores con compuerta. 10. Simular y desarrollar contadores síncronos y asíncronos. 11. Simular y desarrollar registros. 12. Diseñar y construir circuitos convertidores. 13. Simular y programar compuertas básicas con lenguaje HDL en PLD. 14. Simular y programar sumadores y restadores con lenguaje HDL en PLD. 15. Simular y programar codificadores y decodificadores con lenguaje HDL en PLD. Textos Electrónicos, bases de datos y programas informáticos: http://server-die.alc.upv.es/asignaturas/LSED/2002-03/bajoconsumo/bajoconsumo.pdf https://www.youtube.com/watch?v=D6gN9XgfoXM http://www.rvazquez.org/Misitio/materialprincipios_files/oe1new.pdf http://www.juntadeandalucia.es/averroes/~23005153/d_tecnologia/LIBRO/pdf/digitpri.pdf http://www.buenastareas.com/materias/principios-electricos-y-aplicaciones-digital/0 http://www.buenastareas.com/ensayos/Convertidores-Principios-El%C3%A9ctricos-U3/26318474.html https://electrouni.wordpress.com/unidad-iii/