manualc dig

63
UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA FACULTAD DE CIENCIAS QUÍMICAS E INGENIERÍA INGENIERÍA EN ELECTRÓNICA INGENIERÍA EN COMPUTACIÓN MANUAL DE PRÁCTICAS DE LABORATORIO DE CIRCUITOS DIGITALES MC Teresa Carrillo Gutiérrez [email protected] MC Susana Burnes Rudecino [email protected] MC Norma O. Bravo Islas [email protected] IC Raquel Mascareñas Gutiérrez [email protected] v.2008-1

Upload: javucho26

Post on 25-Nov-2015

73 views

Category:

Documents


5 download

TRANSCRIPT

  • UNIVERSIDAD AUTNOMA DE BAJA CALIFORNIA FACULTAD DE CIENCIAS QUMICAS E INGENIERA

    INGENIERA EN ELECTRNICA INGENIERA EN COMPUTACIN

    MANUAL DE PRCTICAS DE LABORATORIO DE

    CIRCUITOS DIGITALES

    MC Teresa Carrillo Gutirrez [email protected] MC Susana Burnes Rudecino [email protected] MC Norma O. Bravo Islas [email protected] IC Raquel Mascareas Gutirrez [email protected]

    v.2008-1

  • Lab de Circuitos Digitales

    2

    CONTENIDO

    Agradecimientos 3 Presentacin 3 Introduccin 4 Lista de Material y Equipo 5 Ficha de Control de Laboratorio 6 Simbologa y Nomenclatura 7 Programas utilizados 7 Prctica 1 Introduccin al Laboratorio de Circuitos Digitales 8 Prctica 2 Diseo Combinacional 18 Prctica 3 Diseo de Circuitos Combinacionales con PLDs 22 Primera parte 23 Segunda parte 24 Prctica 4 Circuitos MSI 26 Prctica 5 F-F, Contadores y Registros MSI 30 Primera parte 35 Segunda parte 38 Prctica 6 Diseo de Circuitos Secuenciales Sncronos 41 Prctica 7 Mas aplicaciones de Diseo Secuencial 43 Anexo A Criterios de Evaluacin 46 Anexo B Construccin de una punta de prueba lgica 50 Anexo C Multisim 53 Glosario 59 Referencias 62

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    3

    AGRADECIMIENTOS Agradecemos a los alumnos de ambas carreras que les toc de alguna manera colaborar en la implementacin de un mejor manual de prcticas de laboratorio de Circuitos Digitales.

    PRESENTACIN

    La materia de Circuitos Digitales es la primera materia del rea de Digitales, lo que representa el inicio en el aprendizaje de los fundamentos tericos y prcticos en el anlisis y sntesis de los sistemas digitales. El objetivo principal de este manual de prcticas es brindar un recurso didctico para adquirir conocimientos y desarrollar habilidades en el diseo de sistemas digitales. Esta dirigido a estudiantes de las carreras de ingeniera relacionadas con el rea de electrnica digital y sistemas digitales. En este manual se aplica el uso de nuevas tecnologas, como son los lenguajes de descripcin de hardware (HDL), programas de captura esquemtica y la implementacin fsica mediante dispositivos lgicos programables (PLD). Las prcticas estn diseadas de manera que permitan al estudiante reforzar el aprendizaje, extender los conocimientos conceptuales, desarrollar habilidades y obtener conocimientos, necesarios en su formacin para el ejercicio de su profesin. Se ha puesto mucho cuidado en asegurar que las prcticas sean tiles, pertinentes, realizables y estimulen el inters por el estudio de la materia. El material usado en estas prcticas fue seleccionado para que este al alcance de la economa del estudiante universitario y no sea necesario hacer una inversin significativa.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    4

    INTRODUCCIN

    En la actualidad el diseo de los sistemas digitales se simplifica gracias a los avances en las computadoras personales, las cuales son muy verstiles y poderosas. El software es muy amigable y esta disponible en un ambiente de ventanas; adems se cuenta con ayudas visuales en caso de algn error. Por otra parte, los dispositivos electrnicos digitales son econmicos y programables a la medida. Las prcticas fueron seleccionadas para sincronizar el laboratorio con los temas que se estudian en clase y as comprobar los conceptos propuestos en clase. En la Prctica 1 se explica el uso de la tablilla de conexiones (protoboard), y se presentan recomendaciones bsicas para el alambrado y funcionamiento de los circuitos, as como la implementacin de los circuitos de entrada y salida. Adems, se comprueban las tablas de verdad de los operadores AND, OR, NOT, NAND, NOR, EXOR, EXNOR, de dos entradas, implementados con circuitos integrados de funcin fija TTL. En esta prctica el alumno se familiariza con los circuitos integrados de funcin fija. En la Prctica 2 se disea un circuito lgico combinacional con compuertas bsicas y con compuertas universales. Se simula con una herramienta CAD y se verifica experimentalmente como todas las prcticas. En la Prctica 3 se disea un circuito combinacional con Dispositivos Lgicos Programables (PLDs). En la Prctica 4 se construye un sistema de vigilancia con circuitos integrados MSI. En la Prctica 5 se estudian la teora bsica de un Flip Flop y las tablas caractersticas de los Flip Flops JK, RS, T y D. Luego se prueban un contador asncrono construido con Flip Flops, un contador asncrono en circuito integrado y un registro binario en circuito integrado. En la Prctica 6 y 7 se realizan diseos de circuitos secuenciales sncronos A continuacin se presenta una lista de material para la realizacin de las 7 prcticas propuestas para este curso.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    5

    LISTA DE MATERIALES

    Cantidad # Descripcin 3 74LS00 NAND de 2 entradas * o 3 74LS02 NOR de 2 entradas * 1 74LS04 NOT * 1 74LS08 AND de 2 entradas * 1 74LS10 NAND de 3 entradas 1 74LS32 OR de 2 entradas * 1 74LS47 Decodificador BCD a 7 segmentos. (nodo C.)

    * o 1 74LS48 Decodificador BCD a 7 segmentos. (Ctodo C.)

    * 1 74LS71 Flip-Flop RS 1 74LS74 Flip-Flop D 2 74LS76 Flip-Flop JK * 1 74LS93 Contador Binario * 1 74LS126 Buffer 1 74LS138 Decodificador/DEMUX * 1 74LS150 MUX 16 a 1 1 74LS151 MUX de 8 a 1 * 2 74LS157 MUX de 2 a 1 1 74LS244 Buffer 3 estados 1 74LS165 Registro de 8 bits 1 74LS386 EX-OR 2 GAL22V10 Dispositivo Lgico Programable 1 Display De 7 seg. nodo comn* 1 Display De 7 seg. Ctodo comn* 1 LM555 Temporizador * 10 LED Diodo Emisor de Luz * 1 DIPSWITCH

    Base sujetadora Panel con 12 interruptores o 12 SW 2 polos 1 tiro. *

    1 Capacitor de 100 F * 12 Resistores 2.2 k ohms * 10 Resistores 220 ohms * 2 Resistores 4.7 k ohms *

    *Se recomienda comprarlo

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    6

    Ficha de Control de Laboratorio

    Circuitos Digitales

    Nombre del Alumno: Nombre del Maestro: Sesin:

    No. de

    prc

    .

    Pre-reporte A: Acreditado

    NA: No Acreditado

    Prctica terminada

    Reporte A: Acreditado

    NA: No Acreditado

    Calificacin de la prctica

    Acred. Fecha Calif. Fecha Calif. Fecha Valor Logrado

    1 4 2 5 3 7 4 4 5 5 6 4 7 6

    Total de puntos Prcticas 35 Proyecto Final 35 Total en LABORATORIO 70

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    SIMBOLOGA Y NOMENCLATURA

    IEEE Acrnimo de Institute of Electrical and Electronics Engineers (Instituto de ingenieros elctricos y electrnicos). Es Instituto norteamericano de ingenieros que dicta recomendaciones y normalizaciones

    PROGMultisim El programa fue originalmente creala cual es ahora una subsidiaria dellamado Electronics Workbench y herramienta educacional para enseducacin, todava hay una vespecficamente diseadas ayuda a WARP WarpR4 es una herramienta para Semiconductor, la cual procesa vaesquemtica, compilador estndarmuy flexible y funcional.

    En la actualidad es uno de los estncaracterstica de optimizar los disepequea rea del circuito; ademscon el usuario. PROMAX SuperPro o PROMAX

    con mbito internacional. Se divide en comits.

    RAMAS UTILIZADOS

    7

    do por una compaa llamada Electronics Workbench, National Instruments. El programa fue originalmente en esa poca fue usado principalmente como una ear electrnica en colegios. Por la historia de la ersin especial de Multisim con caractersticas ensear electrnica.

    el diseo con lgica programable creada por Ciprs rios tipos de entrada de datos; tales como captura de VHDL y la combinacin de ambos, hacindola

    dares mas usados en la industria, ya que presenta la os con rapidez y precisin utilizando tan solo una , ofrece una interfaz grafica llamada Galaxi amigable

    Para la programacin de los circuitos integrados es necesario un programador compatible con archivos en formato JEDEC, que soporte dispositivos lgicos programables como el GAL (arreglo lgico genrico).

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    8

    Prctica No. 1

    Introduccin al laboratorio de Circuitos Digitales

    OBJETIVOS: Explicar el uso de la tablilla de conexiones (protoboard). Verificar experimentalmente la operacin de las compuertas digitales bsicas AND,

    OR y NOT; adems de las compuertas derivadas NAND, NOR, EX-OR, EX-NOR y de las compuertas especiales como la de 3 estados y buffer.

    DURACIN: 2 sesiones

    ; MATERIAL: EQUIPO: 1 74LS00 NAND Punta de prueba lgica 1 74LS02 NOR Fuente de voltaje (5 VDC) 1 74LS04 NOT Multmetro 1 74LS08 AND Manual ECG o NTE 1 74LS32 OR Pinzas de corte 1 74LS86 EX-OR Pinzas de punta 1 74LS244 Buffer 3-Estados Protoboard 1 DIP switch 1 o ms LEDs 1 o ms Resistores 330 2 o ms Resistores 2.2 k

    Alambre para conexiones FUNDAMENTO TERICO: Protoboard La principal caracterstica de un protoboard es la presencia de una enorme cantidad de perforaciones separadas entre s por un dcimo de pulgada, cantidad considerada estndar para la separacin de las terminales de los circuitos integrados. Esto facilita la insercin de estos dispositivos con otros componentes. En el interior de la tablilla existen delgadas lminas que conectan las lneas verticales de puntos. As el punto A se encuentra unido al punto B y as sucesivamente hasta el punto E. De modo que si se conectan las terminales de otros componentes auxiliares es como si

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales se conectara directamente al circuito integrado. Y lo mismo con el resto de las terminales restantes del circuito integrado. (Ver figura 1.1).

    Los 5 contactos verticales estn unidos

    Los contactos horizontales estn unidos

    El espacio central est estandarizado para permitir insertar a los ICs

    Figura 1.1 Distribucin de las diferentes partes del protoboard. Esta laminilla conecta elctricamente los dispositivos insertados en ella. Por lo que un protoboard ofrece la ventaja de elaborar circuitos experimentales (ver figura 1.2)

    Laminilla interna

    9

    Diferentes componentes

    Figura 1.2. Circuito experimental.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    10

    Una de las maneras ms fciles de construir una circuitera y de alambrarla, es la tpica tablilla protoboard, pero se tienen ciertas limitaciones: -Se tiene que distribuir muy bien el espacio para tener una mejor conexin e identificacin de los componentes. -Evite insertar componentes con terminales muy gruesas, pues daarn las terminales del protoboard. -Identificar bien las fuentes y tierras para evitar cortos. -No es conveniente usar circuitera que involucre altas frecuencias o altas corrientes. -Recortar terminales de componentes, lo necesario para no ocasionar cortos, se introduzca ruido, etc. -Tener el rea del circuito fuera de cualquier fuente de ruido mecnico, polvo; es lo mejor para su buen funcionamiento. -Verificar con un hmetro las terminales del protoboard para ver si no hay daos.

    TCNICAS DE ALAMBRADO Basndose en el desarrollo de proyectos, prcticas y dems circuitos por realizar durante la prctica en laboratorio, se tiene que tener en cuenta los siguientes factores para un buen funcionamiento del mismo. (Ver figura 1.3). TIEMPO. Por muy sencilla o compleja que sea la prctica ha realizar se tiene que tomar un tiempo para desarrollarla con detenimiento, para evitar lo siguiente: -Errores en construccin: Cortos, falsos contactos, errores en el alambrado. -Errores en el diseo: Aunque est alambrado correctamente, se puede tener fallas en el concepto bsico de la prctica. -Necesidad de adiciones: Hasta que se prueba la prctica no se debe tener la necesidad de aadir elementos para el funcionamiento correcto. Para evitar tener que identificar y arreglar fallas en circuito a probar, o redisear se tiene que tomar el tiempo necesario para hacerlo bien desde un principio.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    11

    RECOMENDACIONES BSICAS Una de las formas ms bsicas para tener un correcto funcionamiento en la prctica por realizar son: -Asumir que se van hacer modificaciones: Siempre que se hacen correcciones en el diseo, arreglando fallas en el alambrado, agregando circuitera extra, cambiando los valores de los componentes, o conceptos de reingeniera, se tiene que escoger el mejor mtodo que permita hacerlo, dejando bastante espacio en el protoboard para trabajar. -Identificar todos los pins en CIs: Aunque se tenga la identificacin de los pins en la hoja de prctica del circuito ha realizar, se tiene que consultar en los libros de especificaciones del fabricante (data books), para una correcta conexin tomando en cuenta los voltajes de alimentacin, conexiones a tierra, pulsos de reloj, resets, enables, entradas y salidas. Cualquier pin no utilizado o compuerta extra debe ser conectada a tierra o dejarlas desconectadas. -Aterrizar los CIs: Esto significa poner un capacitor de cermica de 0.01 a 0.1F de la fuente de +5V a tierra, para evitar que se introduzca ruido de la lnea, ocasionando fallas repentinas. -Hacer buenas conexiones de la fuente de alimentacin y tierra: Un alambrado dbil en la conexin de circuito a circuito no es suficiente. Tener un alambrado ms robusto es lo mejor en cuanto a mejor calidad de alambre y distribucin en el protoboard para la fuente y tierra. -Mantener por separado la circuitera digital de la anloga: La conmutacin digital, especialmente en los buses de microprocesadores puede introducir tipo de ruido y basura a la circuitera anloga o circuitera de audio. -No siempre el CI esta daado: Cuando un proyecto no funciona, lo primero a deducir es que el circuito integrado esta daado, no siempre es esto. En prctica, la mayora de los circuitos estn a prueba de fallas (mal alambrado, fuente equivocada), soportando un gran abuso. En lo que s se tiene que tener cuidado es en la esttica. El problema por lo general se encuentra en otra parte. -No usar ningn tipo de silicn para aislar circuitera: Este tipo de componente es comnmente usado, pero no es un aislante. Tendr fuga de pequeas corrientes, que no importan en circuitera lgica, pero si en circuitera anloga de alta impedancia.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    12

    -Construir primero una seccin: Cuando se tiene que construir una circuitera muy compleja o hacer varias idnticas, es recomendable empezar por una parte y luego sucesivamente las dems, para evitar errores de alambrado. -Asegurar primero tener el componente antes de la base o el diseo: Se encontrar el circuito ideal para el proyecto en los manuales de especificaciones del fabricante, pero puede suceder que este descontinuado, no hay distribuidor para adquirirlo, o su costo sea muy elevado. Lo correcto es escoger componentes lo ms comerciales posibles. -Nunca conectar circuitera sin probar en la computadora: Nunca se debe hacer esto, cualquier error en el alambrado, la motherboard, y los disk drivers se quemarn. Hay tarjetas especiales que permiten hacer circuitera en prototipo, el cual protege la computadora. -Usar sockets en prototipos: Al terminar un proyecto el cual se quiera pasar a wire wrapper, PCB, etc., donde involucre poner soldadura. Es necesario usar sockets para los CIs antes de colocarlos as, no se daarn con el calor aplicado si se soldan directamente.

    Figura 1.3 Alambrado y distribucin correctas.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    13

    PROCEDIMIENTO: 1. Utilizando Multisim o algn otro simulador realice la simulacin de cada una de las

    compuertas lgicas. 2. Implemente el circuito de la figura siguiente en el protoboard y repita para cada uno

    de los circuitos integrados de la lista de material.

    Diagrama del circuito de prueba El DIP switch esta en configuracin Lgica Negativa

    ON (cerrado) es 0 lgico OFF (abierto) es 1 lgico

    3. Coloque apropiadamente los circuitos integrados sobre el protoboard. Y alambre

    considerando las tcnicas de alambrado mencionadas en este documento.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    14

    Ejemplo de numeracin de pins en un Circuito Integrado 4. Manipule las variables de entrada de la compuerta revisada por medio de

    interruptores.

    VCC= 5 v

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    15

    VCC= 5 v

    5. Verifique la salida de las compuertas digitales de un circuito integrado con la punta de

    prueba lgica o bien con el voltmetro. 6. Elabore la tabla de verdad experimental de la compuerta digital en estudio. 7. Compare los resultados obtenidos con la tabla de verdad de la compuerta lgica.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    16

    8. Anote los resultados obtenidos en la tabla de la siguiente pgina. 9. Utilizando VHDL realice el programa, compile y simule cada una de las compuertas

    lgicas INVESTIGACIN:

    a) Qu es la lgica? b) Qu campo abarca la lgica digital? c) Qu es una Compuerta? d) Explique las diferentes formas de describir la operacin de una compuerta. e) Cul es la diferencia entre compuerta lgica y compuerta digital? f) Cmo formara una operacin AND de tres entradas usando compuertas AND de

    solo dos entradas? Dibuje el circuito. g) Explique el funcionamiento del buffer tri-estado. h) Qu es un Circuito Integrado? i) Investigue la configuracin de todos los circuitos integrados a utilizar en esta

    prctica. j) Qu valor lgico se considera cuando una entrada a una compuerta no est

    conectada? k) Cul es el significado de TTL y mencione sus principales caractersticas? l) Cul es el significado de VCC y GND? m) Investigue las dos formas de alambrado del DIP Switch para manipular las variables

    de entrada a las compuertas.

    Bravo/Burnes/Carrillo/Mascareas

  • Tabla de Resultados

    m AB NAND NOR NOT AND OR X-OR Buffer 3-Estados

    0 00

    1 01

    2 10

    3 11

  • Prctica No. 2 Diseo Combinacional

    OBJETIVOS: Disear utilizando la metodologa bsica para circuitos lgicos combinacionales. Explicar las ventajas que ofrece el dibujo de diagramas de circuito lgico

    empleando para ello diversas alternativas para los smbolos de las compuertas, en comparacin con el uso de los smbolos estndares.

    Implementar las compuertas universales NAND o NOR.

    DURACIN: 1 sesin

    ; MATERIAL: EQUIPO: 1 74LS08 AND Punta de prueba lgica 1 74LS04 NOT Fuente de voltaje (5 VDC) 1 74LS32 OR Multmetro ? 74LS02 NOR depende del diseo Protoboard ? 74LS00 NAND depende del diseo Manual ECG 1 DIP switch Pinzas de corte y de punta 2 LEDs 2 Resistores 330 3 Resistores 2.2 k

    Cable para protoboard FUNDAMENTO TERICO: Todas las expresiones booleanas constan de algunas combinaciones de las operaciones bsicas OR, AND y NOT. As que cualquier expresin puede implementarse con las compuertas bsicas. Sin embargo, tambin es posible hacerlo nicamente con compuertas NAND. Esto se debe a que dichas compuertas, en combinaciones adecuadas, realizan las tres operaciones booleanas, OR, AND y NOT, como se demuestra en la figura 2.1. De igual manera, puede demostrarse que si las compuertas NOR se disponen de manera adecuada, pueden realizar cualquier operacin booleana. Esto se ilustra en la figura 2.2.

  • Lab de Circuitos Digitales

    NOT

    NAND

    A

    19

    OR

    AND

    B

    A

    A

    A

    B

    A

    B

    A

    B

    A

    B

    (AB)

    X=A

    X=AB X=A+B

    Figura 2.1 Las compuertas NAND se pueden utilizar para poner en prctica cualquier funcin booleana.

    NOR

    AND

    OR

    NOT

    X=AB

    X=A+B

    X=A*A=A

    A A B A B

    Figura 2.2 Las compuertas NOR se pueden utilizar para poner en prctica cualquier funcin booleana.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales PROCEDIMIENTO: Disee un circuito comparador de dos nmeros de 2 bits ( A1 A0 y B1 B0 ). El circuito deber tener una seal de salida Z, que ser ALTA para indicar que A y B son iguales o que A es mayor que B, y Z ser BAJA cuando A es menor que B. (Ver figura 2.3) 1. Disee utilizando la metodologa bsica para circuitos lgicos combinacionales.

    2. Simplifique la ecuacin con los teoremas del lgebra booleana

    3. Elabore el diagrama lgico con compuertas bsicas usandcompuertas y circuitos integrados; es decir, aplicando criterios

    4. Evale el diseo lgico. Una simulacin con herramienta CAD

    5. Elabore el diagrama de alambrado completo.

    6. Verifique el circuito experimentalmente en el laboratorio.

    7. Elabore el diagrama lgico con el menor nmero de compuemenor nmero de compuertas NOR.

    8. Simplifique el diagrama lgico con compuertas universales.

    9. Compruebe que la ecuacin de salida realiza la misma fundiagrama lgico con compuertas bsicas.

    10. Elabore el diagrama de alambrado.

    11. Verifique experimentalmente el circuito utilizando el compuertas.

    Comparador binario de 2 bits

    A0 A1 B0 B1

    Figura 2.3 Diagrama a bloques de un comparador b

    Brav.

    20

    o el menor nmero de de eficiencia.

    ser muy til.

    rtas NAND o bien con el

    cin que la ecuacin del

    menor nmero de

    Z

    inario.

    o/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    21

    INVESTIGACIN:

    a) Por qu las compuertas NAND y NOR son universales? b) Cmo se puede construir la operacin XOR y XNOR con las compuertas

    universales? c) Dibuje los smbolos alternativos de las compuertas bsicas. d) Investigue los smbolos estndar 91-1984 ANSI/IEEE para las compuertas bsicas y

    derivadas. e) Elabore el diagrama lgico con simbologa estndar tradicional y con simbologa

    ANSI/IEEE. f) Cul es la utilidad de un diagrama de temporizacin?

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    22

    Prctica No. 3

    Diseo de circuitos combinacionales con Dispositivos Lgicos Programables (PLDs)

    OBJETIVOS:

    Analizar un problema para su mejor solucin. Aplicar los mtodos de simplificacin de circuitos lgicos. Programar un circuito combinacional en un solo circuito integrado (PLD).

    DURACIN: 2 sesiones

    ; MATERIAL: EQUIPO: 74LS08 AND Fuente de voltaje ( 5 VDC ) 74LS04 NOT Programador de PLDs 74LS32 OR Multmetro 74LS86 XOR Protoboard DIP switch Manual ECG o NTE GAL22V10 Pinzas de corte y de punta LEDs Resistores 330 Resistores 2.2 k Alambre para protoboard FUNDAMENTO TERICO:

    Con el fin de permitir la construccin de circuitos lgicos usando el concepto de lgica programable, los fabricantes de circuitos integrados producen dispositivos, de alta velocidad, con los que se puede desarrollar ecuaciones lgicas de toda clase, tanto combinacionales como secuenciales. En estos circuitos dedicados, el usuario puede programar en un solo chip, funciones lgicas que, de otra forma, utilizaran muchos componentes y, por tanto, mucho espacio. A estos dispositivos se les conoce con el nombre genrico de Dispositivos Lgicos Programables o PLDs.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    23

    Los diseadores de circuitos digitales han utilizado tradicionalmente los PLDs para obtener funciones lgicas que generalmente no estn disponibles como componentes estndares. Los PLDs son una excelente opcin en sistemas donde el tamao y complejidad de las tarjetas de circuito impreso, la confiabilidad, el nmero de componentes o la velocidad son factores crticos. En esta prctica se aprender a programar un GAL (Arreglo Lgico Genrico) que es un circuito combinacional meramente. El GAL representa la versin mejorada de un PAL que puede ser programada y borrada elctricamente. El GAL es un dispositivo econmico y verstil, razones por las que se propone para esta prctica. Los sumadores son muy importantes no solamente en las computadoras, sino en muchos tipos de sistemas digitales en los que se procesan datos numricos. Comprender el funcionamiento de un sumador es fundamental en el estudio de los sistemas digitales. Para formar un sumador binario en paralelo se conectan dos o ms sumadores completos. Recordatorio:

    Proceso comn de adicin binaria

    Cosumando 1 0 1 0 1 Almacenados en el registro + acumulador

    Sumando 0 0 1 1 1 Almacenados en el registro B

    Suma 1 1 1 0 0 PROCEDIMIENTO Primera parte: Disee un sumador binario de 3 bits utilizando el menor nmero de compuertas lgicas. (Ver figura 3.1).

    1. Elabore la tabla de verdad del medio sumador y del sumador completo.

    2. Deduzca la ecuacin booleana a partir de la tabla de verdad.

    3. Realice la simplificacin mediante:

    a. Teoremas del lgebra booleana.

    b. Mapas de Karnaugh.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    24

    4. Se recomienda disear el sumador completo con dos medios sumadores y una compuerta OR.

    5. Elabore el diagrama lgico del sumador medio y tambin del sumador total con simbologa estndar:

    a. Tradicional

    b. Rectangular

    6. Elabore el diagrama de alambrado completo.

    7. Verifique experimentalmente el circuito digital con la correspondiente identificacin de las variables de entrada y salida.

    (LSB)(MSB)

    C2 C1 C0

    A B Sumador Medio Cout

    A B Cin Sumador Total Cout

    A B Cin Sumador Total Cout

    2 1 0

    Figura 3.1 Diagrama de bloques de un Sumador paralelo de 3 bits bsico.

    A2 B2 A1 B1 A0 B0

    Segunda parte: Disee un sumador binario de 3 bits utilizando un GAL22V10.

    1. Elabore el archivo fuente (*.vhd) en VHDL.

    2. Compile el archivo para crear el archivo JEDEC (*.jed).

    3. Simule su diseo.

    4. Programe el GAL utilizando el SuperPro o bien el PROMAX.

    5. Verifique experimentalmente en el protoboard.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    25

    INVESTIGACIN:

    a) Explique los mtodos de simplificacin de ecuaciones booleanas. b) De acuerdo a su complejidad, los circuitos integrados digitales se clasifican en 4

    categoras bsicas llamadas SSI, MSI, LSI, y VLSI. Explique cada categora. c) La familia TTL ( Lgica Transistor-Transistor ), est disponible en 2 versiones: la

    serie 54 y la serie 74. La 1a. se destina a aplicaciones militares y la 2a. a aplicaciones industriales y de propsito general. Mencione las categoras o subfamilias bsicas en las que se divide la familia TTL o bipolar.

    d) Mencione las ventajas de los dispositivos lgicos programables (PLDs).

    e) Elabore un diagrama de flujo donde se indique la secuencia de programacin de

    un PLD.

    f) Por qu es posible programar un circuito combinacional o una Mquina de Estados en un GAL?

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    26

    Prctica No. 4

    Circuitos Lgicos MSI

    OBJETIVO: Implementar circuitos integrados combinatorios MSI. Analizar y utilizar decodificadores y codificadores en distintas aplicaciones. Familiarizarse con el uso de visualizadores tipo LED (Display de 7 segmentos). Verificar experimentalmente la operacin de un multiplexor 74LS157. Comprobar la operacin de un DEMUX de 1 a 8 lneas 74LS138.

    DURACIN: 2 sesiones

    ; MATERIAL: EQUIPO: 74LS138 Fuente de voltaje ( 5 VDC ) 74LS151 Multmetro Display de 7 segmentos 74LS47 o 74LS48 decodificador para display de 7 segmentos

    Protoboard

    DIP switch con 12 SW Manual TTL. LEDs Manual ECG o NTE Resistores para los LEDs Pinzas de corte y de punta Resistores para los interruptores Cable para protoboard FUNDAMENTO TERICO: Un decodificador acepta un cdigo de entrada de N bits y produce un estado ALTO o BAJO en una y solo una lnea de salida. En otras palabras, se dice que un decodificador identifica, reconoce o bien detecta un cdigo especifico (ver figura 4.1). Lo opuesto a este proceso se denomina codificacin y es realizado por un circuito lgico que se conoce como codificador. Un codificador tiene varias lneas de entrada, solo una de ellas se activa en un momento dado, y produce un cdigo de salida de N bits, segn la entrada que se active. La figura 4.2 es el diagrama general de un codificador con M entradas y N salidas. Aqu, las entradas son activas en ALTO, lo cual significa que normalmente son BAJAS. Un multiplexor o selector de datos es un circuito lgico que acepta varias entradas de datos y permite solo a una de ellas alcanzar la salida. La direccin deseada de los datos de

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales entrada hacia la salida es controlada por las entradas de seleccin (que algunas veces se conoce eccin). La figura 4.3 m al de un multipl este diagrama las entrad o flechas grandes para indicar que pueden ser una o ms lneas de seales. Un multiplexor ma varias entradas y transmite una de ellas a la salida. Un demultiplexor efe la operacin contraria; toma una trada y la distribuye en varias salidas. La ra 4.4 muestra el diagrama general demultiplexor (DEMUX). Las flechas grandes que corresponden a entradas y salidas pueden representar una o ms lneas. El cdigo de entrada de seleccin determina hacia qu salida se transmitir la entrada de DATOS. En otras palabras, el demultiplexor toma una fuente de datos de entrada y la distribuye selectivamente a uno de N canales de salida, igual que un interruptor de mltiples posiciones.

    Q 0

    Q1

    Q2 . . .

    QM-1

    A

    0 A1

    A2 . . .

    Decodificador

    2 igos d rada

    Q 0

    Q1

    Q2 . . .

    QN-1

    A0 A1

    A2 . . . AM-1

    Codificador

    tradas s na ALTA

    a vez

    Figura 4.2 Codificador.

    Cdig salida De bits

    Figura 4.1 Decodificador.

    Slo un lida es alta p cada

    cdigo d trada

    O I0 I1 I N-1

    Salida

    Entradas de SELECCIN

    Cdigde SEque dentratranssalida

    MUX

    Entradas de DATOS

    Figura 4.3 Multiplexor. a saor

    e en

    AN-1 N cde ent

    Figura 4.4 Demultiplexor.

    Entradas de SELECCIN

    O O DEMUX

    Entrada de DATOS

    Z

    o de entrada LECCIN etermina que da se mite a la Z.

    Bravo/Burnes/Carrillo/Masco de N

    0 M enlo u

    a lton como entradas de direxor general (MUX). Enctafigusola en de unuestra el diagrama funcionas y salidas se trazan com

    27

    1

    N-1

    areas

  • Lab de Circuitos Digitales

    Figura 4.5 Ejemplo de aplicacin del DEMUX.

    PROCEDIMIENTO: Sistema de seguridad y vigilancia. Considere el caso de un sistema de vigilancia y seguridad para una planta industrial donde debe vigilarse el estado abierto/cerrado de muchas puertas de acceso. Cada puerta controla el estado de un interruptor y adems es necesario presentar de manera visual el estado de cada uno sobre varios LED que estn montados sobre un panel de vigilancia remoto que se encuentra en la estacin del guardia de seguridad. Una manera de hacer esto es tender un cable de seal desde el interruptor de cada puerta hasta el LED que se encuentra en el panel. Esto requerira tender muchos alambres sobre distancias grandes. Una mejor solucin, que adems reduce la cantidad de alambres que van acia el panel central, es utilizar una combinacin multiplexor/demultiplexo . La figura 4.6 muestra un sistema que puede manejar ocho puertas y el nmero de

    a) Explique el funcio

    b) Elabore el diagram

    c) Elabore el diagram

    d) Simule el circuito

    e) Comprubelo expvariables de entra

    NOTA : No olvide incluir hr

    28

    puerta monitoriada se ver en el display de 7 segmentos.

    namiento del siguiente circuito a bloques.

    a lgico completo.

    a de alambrado completo.

    con una herramienta de computadora

    erimentalmente en el protoboard, recuerde la identificacin de da y de salida:

    el diagrama lgico completo en su pre-reporte.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    29

    Figura 4.6 Sistema de vigilancia y seguridad.

    INVESTIGACIN:

    a) Muestre la visualizacin de nmeros y caracteres especiales en un display de 7 segmentos.

    b) Copie las tablas funcionales y los smbolos del MUX 74LS151 y del decodificador/DEMUX 74LS138.

    c) Qu es un demultiplexor?

    d) Dibuje el equivalente mecnico de un DEMUX de 4 salidas.

    e) Cmo se usa un DEMUX como decodificador?

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    30

    Prctica No. 5

    Flip Flop, Contadores y Registros en MSI

    OBJETIVOS:

    Construir un generador de pulsos de reloj. Analizar el funcionamiento del flip - flop D, JK y T. Construir un contador asncrono binario de 4 bits con flip flops JK. Analizar la operacin y las caractersticas de un contador binario asncrono de 4 bits

    tomando como ejemplo el CI 74LS93. Analizar la operacin y las caractersticas de un registro binario bits tomando como

    ejemplo el CI 74LS165.

    DURACIN: 2 sesiones

    ; MATERIAL: EQUIPO: 74LS47 74LS48 decodificador con display de 7 segmentos

    Fuente de voltaje ( 5 VDC )

    2 74LS76 f-f JK Multmetro 1 74LS93 Contador Protoboard 1 74LS165 Registro Manual TTL. 1 74LS74 f-f D Manual ECG o NTE DIP switch con resistores 2.2 k Pinzas de corte y de punta LEDs con resistores 330 2 Resistores de 4.7 k 1 Capacitor de 100 F 1 LM555 Cable para protoboard

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    31

    FUNDAMENTO TERICO: 1. Registro bsico con compuertas NOR

    Figura 5.1 Registro bsico con compuertas NOR.

    2. Registro bsico con compuertas NAND

    Figura 5.2 Registro bsico con compuertas NAND.

    3. Flip-flop S-R disparado por flanco

    Figura 5.3 Flip-flop tipo SR.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    32

    4. Registro bsico tipo D

    Figura 5.4 Registro bsico tipo D.

    5. Flip-flop D disparado por flanco

    Figura 5.5 Flip-flop tipo D.

    6. Flip-flop J-K disparado por flanco

    Figura 5.6 Flip-flop tipo JK.

    7. Entradas asncronas

    Figura 5.7 Entradas asncronas.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    33

    Los registros de corrimiento son CIs cuya funcionalidad es muy parecida a los

    multiplexores, slo que este integrado generalmente se compone con 8 bits de entrada paralela, una salida en serie, un habilitador de carga, la entrada del reloj y dos pines de polarizacin, dependiendo del tipo de empaquetado, ya que tambin se cuenta con registros de corrimiento con entrada en serie y salida paralela. La diferencia entre estos CIs y los multiplexores radica en que los primeros slo utilizan las entradas de reloj para obtener una salida, mientras que en los multiplexores se necesita controlar dicha salida por medio de ciertos bits de seleccin y dichos bits de seleccin con un controlador y un reloj para que dicho multiplexor llegue a funcionar como registro de corrimiento. Funcionamiento del 74LS165. El habilitador de carga permite cargar los 8 bits de entrada paralela mientras el pin de la inhibicin del reloj se encuentra en alto, tiempo en que la entrada del reloj no afecta la salida. Una vez que el pin de inhibicin es puesto en bajo la entrada paralela saldr en serie en el orden de A a H en salida normal y negada. El 74LS165 es un registro que permite hacer corrimientos de 8 bits, de entradas y salidas seriales; el cual cuenta con caractersticas de carga asncrona e inhibicin del reloj. Este dispositivo opera como un flip-flop tipo D disparado con transiciones positivas preset (S) y clear (R). (Ver figura 5.8). S y R son controladas por las entradas A y Shift/Load; Shift es el corrimiento y Load no carga. (Ver figura 5.9). La extraccin final de los datos ser despus de que la seal Clock Inhibit retorna a 0. Los datos estn almacenados en (QH,QG,QF,QE,QD,QC,QB,QA) y salen en este orden, esto es, de QH a QA (ver fig. 5.10).

    Figura 5.8 Diagrama del CI 74LS165.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    34

    Figura 5.9 Diagrama parcial del circuito integrado 74LS165.

    Figura 5.10 Tabla de funcionamiento del 74LS165.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    Fig

    Primera parte PROCEDIMIENT 1. Construya el cir

    35

    ura 5.11 Diagrama de temporizacin del CI 74LS165.

    O:

    cuito Generador de pulsos para frecuencia fija de 1Hz.

    Figura 5.12 Circuito generador de pulsos a

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales 2. Verifique experimentalmente la tabla caracterstica de los f-f JK y D. Tambin verifique la funcin de las entradas asncronas PRESET y CLEAR. 3. Construya un flip-flop D y un flip-flop T con flip-flops tipo JK. Ver figura 5.13.

    a) flip-flop D b) flip-flop T Figura 5.13 Flip-flop tipo D y tipo T con flip-flop JK.

    4. Construya un contador de rizo de 4 bits con f-fs JK.

    Figura 5.14 Diagrama a bloques de un contador de rizo de 4 bits.

    Figura 5.15 Diagrama lgico de un contador de rizo

    36

    de 4 bits con f-fs JK.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    37

    Figura 5.15 Diagrama de temporizacin.

    Figura 5.16 Secuencia de conteo.

    5. Decodifique las salidas del contador y visualcelas en un display de 7 segmentos.

    Figura 5.17 Contador con decodificador de BCD a 7 segmentos.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    38

    Segunda parte PROCEDIMIENTO:

    1. Utilice el circuito generador de pulsos de reloj para frecuencia variable o para frecuencia fija 1 Hz.

    2. Analice el funcionamiento del contador 74LS93 de la figura 5.19 incisos a y b. Comprelo con los datos de la tabla de verdad c).

    3. Construya un contador MOD-8 y un MOD-16 con el contador de ejemplo 74LS93. (Figura 5.20).

    4. Utilice el circuito visualizador con display de 7 segmentos.

    5. Verifique experimentalmente el funcionamiento del circuito 74LS165. Consulte las especificaciones del circuito en la seccin de fundamento terico de esta prctica.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    a) Distribucin de pins.

    Salidas (*) Conteo QD QC QB QA 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

    0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

    0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

    0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

    0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

    (*

    Figura 5.19 Ci

    39

    b) Diagrama funcional.

    Entrada de reset

    Salidas

    R0(1) R0(2) QD QC QB QA 1 0 X

    1 X 0

    0 0 0 0 Conteo Conteo

    ) : Salida QA (pin 12) conectada a entrada B (pin 1).

    c) Tablas de verdad.

    rcuito integrado 74LS93.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    40

    5.20 Contadores de mdulo N con 74LS93.

    INVESTIGACIN:

    a) Los circuitos digitales se pueden agrupar en 2 grandes categoras: combinatorios y secuenciales. Explique la diferencia entre ambas.

    b) Qu es lgica secuencial sncrona?

    c) En dnde se utilizan los registros binarios?

    d) Cules son las diferencias entre un contador asncrono y uno sncrono?

    e) Dibuje el smbolo del registro 74LS165 y del contador 74LS93 en simbologa rectangular.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    41

    Prctica No. 6 Diseo de circuitos secuenciales sncronos

    Contadores MOD < 2n

    OBJETIVOS: Disear Contadores MOD 2n. Programar en un GAL un contador MOD 2n.

    ; MATERIAL: EQUIPO: Circuito Visualizador con Display de 7 seg. Fuente de voltaje ( 5 VDC ) Circuito temporizador a 1 Hz Multmetro 2 74LS76 JK Protoboard 1 GAL Manual TTL. LEDs con resistores 330 Manual ECG o NTE Cable para protoboard Pinzas de corte y de punta FUNDAMENTO TERICO: Un circuito secuencial que pasa a travs de una secuencia prescrita de estados bajo la aplicacin de pulsos de entradas se denomina contador. Los pulsos de entrada, llamados pulsos de conteo pueden ser pulsos de reloj, o pueden originarse en una fuente externa y pueden ocurrir a intervalos de tiempo prescritos o aleatorios. En un contador, la secuencia de estados puede seguir un conteo binario o cualquier otra secuencia de estados. Los contadores se encuentran en casi todo el equipo que contiene lgica digital. Se usa para contar el nmero de ocurrencias de un evento y son tiles para generar secuencias de temporizado para controlar operaciones con un sistema digital. De las diversas secuencias que puede seguir un contador, la secuencia binaria directa es la ms simple y la ms directa. Un contador que sigue la secuencia binaria se denomina contador binario un contador binario de n bits consta de n flip-flops y puede contar con un binario desde 0 hasta 2n-1. La secuencia de conteo de un contador binario de 3 bits se da en una secuencia de conteo que se repite despus que alcanza el ltimo valor, de modo que el estado 000 es el estado siguiente despus de 111. La secuencia de conteo da toda la informacin necesaria para disear el circuito. No es necesario listar los estados siguientes en una columna separada porque pueden leerse en el nmero de la secuencia siguiente.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    42

    La tabla de excitacin para un contador de 3 bits se designa por los tres flip-flops con variables A2, Ayo A1. Los contadores binarios se construyen en la forma mas eficientes con los flip-flops T. La excitacin flip-flop para las entradas T se derivan mediante la tabla de excitacin del f-f tipo T y mediante la inspeccin de la transicin de estado desde un conteo dado (estado presente) al siguiente bajo el (estado siguiente). Un contador con n flip-flops puede tener una secuencia binaria de menos de FN

    nmeros. Un contador BCD cuenta la secuencia binaria desde 0000 hasta 1001 y regresa a 0000 para repetir la secuencia. Otros contadores pueden seguir una secuencia arbitraria que es posible no sea la secuencia binaria directa. En cualquier caso, el procedimiento de diseo es el mismo. La secuencia de conteo se lista en la tabla de excitacin que se obtiene al comparar un conteo presente con el siguiente conteo que se lista bajo l. Una secuencia de conteo tabulada siempre supone una cuenta repetida, de modo como el siguiente estado de la ultima entrada es el primer conteo listado. PROCEDIMIENTO:

    1. Disee un circuito contador sncrono MOD 7 con f-fs JK.

    2. Verifique experimentalmente en el protoboard.

    3. Simule el circuito obtenido en el paso anterior.

    4. Programe un GAL como contador MOD 7.

    5. Verifique experimentalmente en el protoboard.

    INVESTIGACIN:

    a) Explique el procedimiento para disear contadores MOD FN b) Cmo se programan los circuitos secuenciales en VHDL?

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    43

    Prctica No. 7 Diseo Secuencial

    Ms aplicaciones: Mquina de Moore

    OBJETIVOS: Disear Contadores MOD FN. Aplicar la metodologa para disear un sistema secuencial sncrono. Implementar el circuito diseado en un GAL.

    DURACIN: 2 sesiones

    ; MATERIAL: EQUIPO: Circuito Visualizador con Display de 7 seg. Fuente de voltaje ( 5 VDC ) Circuito temporizador a 1 SS Multmetro 2 74LS76 JK Protoboard 1 GAL Manual TTL. LEDs con resistores 330 Manual ECG o NTE Cable para protoboard Pinzas de corte y de punta FUNDAMENTO TERICO:

    Una mquina de Moore es similar a una de Mealy, salvo en que la respuesta slo

    depende del estado actual de la mquina y es independiente de la entrada. Precisamente,

    una mquina de Moore es una estructura de la forma

    Donde

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    44

    En la mquina de Moore se tiene que:

    En la mquina de Moore, el prximo estado viene determinado por expresiones lgicas

    exclusivamente combinacionales del estado actual (realimentado) y el valor actual de las

    entradas.

    Y en este caso los valores de las salidas actuales solamente vienen dadas por expresiones

    lgicas puramente combinacionales del estado actual.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales PROCEDIMIENTO: Mquina de Moore.

    Disee un sistema secuencial que controle el llenado de un tanque con las siguientes

    caractersticas:

    a) El sistema consta de dos bombas llamadas A y B

    b) Un sensor de nivel H que indica con H = 1 tanque ll

    c) Partiendo de que el tanque se encuentra vaco, el llen

    encendiendo la bomba A hasta llenar el tanque, para post

    d) Si de nuevo se vaca el tanque, el llenado deber hace

    bomba B y as sucesivamente, de tal forma que las bomba

    funcionamiento.

    INVESTIGACIN: Explique el procedimiento para disear circuitos secueneno y H = 0 tanque vaco.

    ado deber iniciarse

    eriormente apagarse.

    rse encendiendo ahora la

    s alternen su

    1. Especifique el sistema. 2. Determine la cantidad de flip

    flops.

    45

    3. Asigne valores a los estados.

    4. Determine las entradas y

    salidas.

    5. Construya una tabla de

    estados.

    6. Minimice.

    7. Elabore el diagrama.

    8. Simule

    9. Pruebe el circuito

    experimentalmente.

    ciales.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    46

    ANEXO A

    Criterios de evaluacin del Laboratorio de Circuitos Digitales 1) Pre-reporte El pre-reporte de laboratorio es OBLIGATORIO e individual. NO se realizar durante la sesin del laboratorio. Si no est terminado, favor de no entrar al laboratorio. El pre-reporte incluye: Hay que contestar TODAS las preguntas/ejercicios de la seccin de INVESTIGACIN, an cuando sean puntos no cubiertos en clase, por eso es de INVESTIGACIN. Toda la preparacin terica de la prctica lo evidenciar con un Mapa Mental. Incluir lo que se pide en la seccin de PROCEDIMIENTO, tales como tablas de verdad, ecuaciones, deducciones, etc. Elaborar los Diagramas lgicos completos y CORRECTOS, no tachados ni sucios. Elaborar el Diagrama de ALAMBRADO completo, se podr auxiliar de una herramienta CAD (Diseo asistido por computadora) por ejemplo Circuit Maker, MultiSim, etc.). VERIFICACIN de lista de material, completarla si fuera necesario. Presentacin del Manual del laboratorio impreso o en formato electrnico en Lab Top personal. Evaluacin del pre-reporte: Para obtener una A de Acreditado deber estar COMPLETO. Podr estar a mano con buena letra y calidad de presentacin en diagramas. Sin faltas de ortografa. No es para entregar slo se mostrar durante la sesin de laboratorio que corresponda. La acreditacin del mismo se anotar en la lista de control del maestro y en la ficha de control del laboratorio del alumno. Para acreditar laboratorio es requisito indispensable aprobar la totalidad de pre-reportes.

    2) Prctica de laboratorio: Circuito funcionando completamente, con calidad de alambrado aceptable, con etiquetas, realizar la presentacin del mismo y contestar todas las preguntas que le realice el maestro. La entrega se realizar en la sesin de laboratorio que corresponda, si se entregara despus bajar su calificacin un punto por da. La calificacin se anotar en la lista de maestro y en la ficha de control del laboratorio. Despus de la revisin del funcionamiento de la prctica se des-alambrar completamente y se mostrar el protoboard.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    47

    Para acreditar una prctica tendr que obtener una calificacin mnima de 6 (escala 10). Para acreditar laboratorio es requisito indispensable aprobar la totalidad de prcticas. En caso de no aprobar el laboratorio por prcticas de laboratorio repite el curso sin derecho a examen extraordinario ni regularizacin. En siguiente tabla se muestran los valores totales de cada una de las prcticas, considerando el pre-reporte, la prctica funcionando y el reporte. No Ttulo de la prcticas Valor puntos 1 Introduccin al lab. De C. Dig. 4 2 Diseo Combinacional 5 3 Diseo de Circuitos Combinacionales con

    PLDs 7

    4 Circuitos MSI 4 5 F-F, Contadores y Registros MSI 5 6 Diseo de Circuitos secuenciales sncronos 4 7 Ms aplicaciones de Diseo secuencial 6 35 puntos 3) Reporte de prctica de laboratorio: Para acreditar un reporte tendr que obtener una calificacin mnima de 6 (escala 10). Para acreditar laboratorio es requisito indispensable aprobar la totalidad de reportes. En caso de entregar y no acreditar el reporte se bajar 2 puntos de la calificacin de la prctica (escala 10). Se entregar el reporte corregido en la siguiente sesin de laboratorio. No habr otra oportunidad en caso de no acreditarlo. Se entregar el reporte como tiempo lmite en la siguiente sesin de laboratorio de la fecha de elaboracin de la prctica. Se podr entregar impreso, en hojas recicladas de preferencia, a mano o en archivo electrnico va correo electrnico. El tipo de letra ser de los sencillos como Arial, Times New Roman etc. y de tamao 10 mximo 12. Sin faltas de ortografa y con buena redaccin. Se puede realizar a mano si es con buena letra. Tener el cuidado de recoger su reporte evaluado y verificar su acreditacin. En caso de que el reporte se enve por correo electrnico se tendr cuidado de revisar y guardar la respuesta; tambin en este caso se usaran la ficha de control de laboratorio del alumno y la lista de control del maestro. En caso de acreditar el reporte se queda la calificacin obtenida en la prctica. Si se obtiene un A+ se sumar un punto a los puntos logrados.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    48

    La portada del reporte de la prctica de laboratorio debe incluir los siguientes datos: Nombre de la materia Nombre del alumno

    Nombre del maestro Ttulo y nmero de la prctica Sesin de laboratorio Fecha de elaboracin de la prctica Fecha de entrega del reporte

    El documento llamado Reporte debe contener lo siguiente:

    1. Portada 2. Introduccin (explicar el objetivo de la prctica) 3. Procedimiento y metodologa 4. Representacin de la funcin mediante diagrama de alambrado, diagrama

    esquemtico, circuito, ecuacin o tabla de verdad 5. Resultados, conclusiones y recomendaciones (por lo menos media cuartilla que

    es media pgina a 1.5 de interlineado) 6 Cuestionario resuelto 7. Referencias (bibliogrficas y/o cibergrficas)

    4) Proyecto del laboratorio Tiene un valor de 40 puntos. Se realizar en equipo de mximo 3 alumnos. Entregar en la fecha solicitada la propuesta del proyecto con los nombres de los integrantes del equipo. Entregar en las fechas indicadas los avances del proyecto. Aprobar el proyecto final igualmente con calificacin mnima de 6 (equivale a 28 puntos). Para acreditar el proyecto de laboratorio deber estar funcionando completamente. Todos los integrantes del equipo debern demostrar que trabajaron en igual proporcin. Exposicin del funcionamiento del proyecto por todos los integrantes del equipo. Slo el proyecto podr ser entregado en extraordinario en caso de no funcionar, y en caso de haber trabajado durante el semestre. Reporte del proyecto del laboratorio Es requisito indispensable para acreditar el proyecto de laboratorio. Se podr entregar impreso, en hojas recicladas de preferencia, a mano o en archivo electrnico va correo electrnico. El documento tendr los mismos puntos y caractersticas de calidad y cantidad de un reporte de prctica de laboratorio. Es un reporte por proyecto donde colabora en su elaboracin TODO el equipo de trabajo.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    49

    En caso de entregar y no acreditar el reporte se bajar 5 puntos de la calificacin del proyecto. Se entregar el reporte corregido en la siguiente sesin de laboratorio. No habr otra oportunidad en caso de no acreditarlo. Con el reporte acreditado se quedan los puntos logrados en el proyecto. Si se obtiene un A+ se suman 4 puntos a la calificacin lograda en el proyecto.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    50

    ANEXO B

    Construccin de una punta de prueba lgica

    OBJETIVO: El alumno construir su propia punta de prueba lgica como herramienta para la realizacin de las prcticas de laboratorio. ; MATERIAL: EQUIPO: 1 Resistor de 330 Cautn 1 LED Fuente de voltaje ( 5 VDC ) Un caimn con alambre insulado negro Desoldador Una pluma sin tintero Pinzas de punta Soldadura PROCEDIMIENTO: 1.- Soldar la punta de la pluma al resistor con la finalidad que sirva de punta de prueba. 2.- Soldar el otro extremo del resistor al nodo del LED, dejando la parte superior del LED

    visible. 3.- Soldar el ctodo del LED al caimn. 4.- Comprobar el funcionamiento de la probeta lgica.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    51

    Caimn a tierraPunta de prueba

    resistor de 330 nodo ctodo

    Fig. C.1 Diagrama interno de una Punta de prueba lgica

    Se quit la capa de la pluma para mostrar su vista interior.

    Fig. C.2 Punta de prueba lgica.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    52

    Fig. C.4 Soldadura entre terminales Cuando se van a unir 2 o ms terminales se deben hacer ganchos en los 2 extremos para asegurar la unin. Esto es muy importante, porque si la soldadura llegara a romperse durante el proceso, el gancho continuar haciendo contacto.

    Fig. C.3 Tipos de LEDs La grfica muestra dos de las variedades de LEDs en las formas que se puede encontrar.

    Fig. C.5 Cmo soldar

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    53

    ANEXO C Inicio de Multisim 8

    Busque el archivo ejecutable a travs del botn Start > Programs > Diseo y Simulacin > Electronics Workbench > Multisim 8 > Multisim 8 y ejectelo. Enseguida aparecer la ventana Circuit1 Multisim [Circuit1], como se muestra en la figura.

    En la barra de componentes que se encuentra en la parte superior izquierda busque el boton y haga clic y aparecera una ventana Select a Component como se muestra en la figura.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    54

    Seleccione 74LS>DISPOSITIVO>OK, coloque la figura sobre la pantalla y haga clic. En la barra de componentes localice el boton Source haga clic, seleccione POWER_ SOURC>Vcc>OK, coloque la figura sobre la pantalla y haga clic.

    Repita el paso anterior pero ahora seleccione POWER_ SOURC>DGND>OK, coloque la figura sobre la pantalla y haga clic

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    55

    En la barra de componentes localice el boton Basic haga clic, seleccione SWITCH>DIPSW2>OK, coloque la figura sobre la pantalla y haga clic

    Repita el paso anterior pero ahora seleccione RESISTOR>VALOR RESISTOR>OK, coloque la figura sobre la pantalla y haga clic

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    56

    En la barra de componentes localice el boton Diodo haga clic, seleccione LED>LED_COLOR>OK, coloque la figura sobre la pantalla y haga clic

    Una vez que ya sabe donde se encuentra la barra de componentes y como seleccionarlos proceda a alambrar las siguientes compuertas NOT, AND Y OR para comprobar su tabla de verdad por medio de un LED:

    NOTA: Para poder hacer las uniones se debe de colocar el puntero del mouse en el extremo que se quiere unir, deje oprimido el boton izquierdo del mouse y arrstrelo al otro extremo del componente que se quiere unir como se muestra en la figura

    Puntero del mouse al inicio del trayecto

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    57

    Puntero del mouse al final del trayecto

    Para realizar los siguientes circuitos se requiere del siguiente material: 1 Compuerta 74LS04 Fuente de 5v Dip swich Resistencias (1) 330, (1) 2.2K 1 LED DGND (referencia de tierra)

    NOT 74LS04

    Una vez que arme el circuito como se muestra en la figura localice el boton Run / Stop Simulation (rayo color amarillo) quinto botn, parte superior, de derecha a izquierda, haga clic para empezar simulacin, para detener la simulacin haga clic otra vez sobre el boton Run / Stop Simulation

    AND 74LS08

    1 Compuerta 74LS08 Fuente de 5v Dip swich Resistencias (1) 330, (2) 2.2K 1 LED DGND (referencia de tierra)

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    58

    OR 74LS32

    1 Compuerta 74LS32 Fuente de 5v Dip swich Resistencias (1) 330, (2) 2.2K 1 LED DGND (referencia de tierra)

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    59

    GLOSARIO

    lgebra de Boole o lgebra Booleana Son estructuras algebraicas que capturan la esencia de las operaciones lgicas Y, O y NOT, as como el conjunto de operaciones unin, interseccin y complemento. Se denomina as en honor a George Boole, matemtico ingls que fue el primero en definirla como parte de un sistema lgico a mediados del siglo XIX. Los operadores del lgebra de Boole a menudo se representan simplemente como AND(Y)\, OR(O) y NOT(NO). En electrnica digital tambin se emplean la X-OR(O exclusiva) y sus negadas NAND(NO Y), NOR(NO O) y X-NOR(equivalencia). Bit En informtica, acrnimo de Binary Digit (dgito binario), que adquiere el valor 1 o 0 en el sistema numrico binario. Byte En informtica, unidad de informacin que consta de 8 bits; en procesamiento informtico y almacenamiento, el equivalente a un nico carcter. Circuito lgico Es aquel que maneja la informacin en forma de "1" y "0, dos niveles de voltaje fijos. "1" nivel alto o "high" y "0" nivel bajo o "low". Circuito temporizador Circuito programable de 1 segundo hasta horas, dependiendo de los valores del capacitor y resistencias empleadas. La salida es un pulso de duracin especifica, el pulso ser activado por el capacitor y este ser activado por Vcc a medida que la resistencia propuesta lo permite. Digital Sistema que usa muestras digitales (valores discretos codificados en binario) para representar seales analgicas. DIP Switch Conmutador de dos posiciones en formato DIP. Diodo LED Un LED, siglas en ingls de Light-Emitting Diode (diodo emisor de luz) es un dispositivo semiconductor (diodo) que emite luz policromtica, es decir, con diferentes longitudes de onda, cuando se polariza en directa y es atravesado por la corriente elctrica. El color depende del material semiconductor empleado en la construccin del diodo, pudiendo

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    60

    variar desde el ultravioleta, pasando por el espectro de luz visible, hasta el infrarrojo, recibiendo stos ltimos la denominacin de IRED (Infra-Red Emitting Diode). GAL(Generic Logic Array) El arreglo lgico genrico(GAL) se forma con arreglos AND programable y OR fijo, con una salida lgica programable. Las dos principales diferencias entre los dispositivos GAL y PAL radican en que el primero es programable y contiene configuraciones de salida programables. Los dispositivos GAL se pueden programar una y otra vez, ya que usan la tecnologa E2CMOS (Ellectrically Erasable CMOS). Interruptor Es un dispositivo para cambiar el curso de un circuito. JEDEC Archivo software estndar generado a partir de un software de compilacin, que se emplea en un dispositivo de programacin para implementar un diseo lgico de una PLD; tambin se denomina mapa de fusibles o mapa de celdas. Mapa de Karnaugh Un mapa de Karnaugh (tambin conocido como tabla de Karnaugh o diagrama de Veitch) es un diagrama utilizado para la minimizacin de funciones algebraicas booleanas. Fue inventado en 1950 por Maurice Karnaugh, un fsico y matemtico de los laboratorios Bell. Es un diagrama formado por cuadros, cada uno de los cuales representa una de las posibles combinaciones de las variables de una funcin lgica. En cada cuadro se representa un valor que toma la funcin para la combinacin de variables que le corresponde. Los mapas de Karnaugh pueden considerarse como diagramas visuales de las tablas de verdad. Pin Tambin llamado terminal o patilla, pin es cada uno de los contactos terminales de un conector o componente electrnico, fabricado de un material conductor de la electricidad. PLD Un dispositivo lgico programable o PLD es un componente electrnico usado para construir circuitos. A diferencia de las compuertas lgicas, las cuales tienen funciones determinadas, un PLD tiene una funcin indefinida al momento de fabricarse. Antes de usar un PLD en un circuito debe programarse. Reloj Seal de temporizacin bsica de un sistema digital.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    61

    Sistema binario El sistema binario, a diferencia del sistema decimal donde son permitidos 10 cifras, slo necesita dos (2) cifras el "0" y el "1". Este sistema es de especial importancia en la electrnica digital, donde slo son posibles dos valores. Este sistema es de especial importancia en la electrnica digital, donde slo son posibles dos valores, los valores de "1" y "0" se asocian con "nivel alto" y "nivel bajo" o con "cerrado" o "abierto". Teoremas de Morgan Permiten transformar funciones producto en funciones suma y viceversa. Su principal aplicacin prctica es realizar circuitos utilizando un solo tipo de compuerta. TTL Acrnimo Ingls de Transistor-Transistor Logic o Lgica Transistor a Transistor. Tecnologa de construccin de circuitos electrnicos digitales, en los que los elementos de entrada de la red lgica son transistores, as como los elementos de salida del dispositivo. VHDL(Hardware Description Language) Es un lenguaje orientado a la descripcin o modelado de sistemas digitales; es decir, se trata de un lenguaje mediante el cual se puede describir, analizar y evaluar el comportamiento de un sistema electrnico digital.

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    62

    REFERENCIAS

    Floyd T, 2000. Fundamentos de Sistemas Digitales. Prentice Hall: Mxico. Garza J. ngel. 2006. Sistemas digitales y electrnica digital Prcticas de laboratorio. Prentice Hall: Mxico. Mxinez D, Alcal J. 2002. VHDL El arte de programar sistemas digitales. CECSA: Mxico.

    National Semiconductors, Texas Instruments o Motorola. TTL Databook: USA Nelson V, Nagle H, Carroll B, Irwin J. 1996. Anlisis y Diseo de Circuitos Lgicos Digitales. Prentice Hall: Mxico.

    Tocci R, Sistemas Digitales Principios y aplicaciones. Prentice Hall: Mxico.

    Cibergrafa: Sitios de Internet recomendados Hojas de especificaciones: http://www.alldatasheet.com/

    Enseando con Multisim: http://tauro.unex.es/vaguiti/ELECTRONICA_INDUSTRIAL_-2_ETRI-/Multisim1#search=%22Tutorial%20Multisim%209%22

    Tutorial de Sistemas Digitales: http://www.itlp.edu.mx/publica/tutoriales/sistdigitales/index.htm Tutorial de VHDL WARP: http://det.bp.ehu.es/vhdl/pagina/inicio.htm http://www.ehu.es/Electronica_EUITI/vhdl/pagina/inicio.htm

    Bravo/Burnes/Carrillo/Mascareas

  • Lab de Circuitos Digitales

    63

    Gua rpida del Multisim 2001: http://bibliotecnica.upc.es/bustia/arxius/33813.pdf#search=%22tutorial%20de%20multisim%22 Qu son los Mapas Mentales? http://www.conocimientoysociedad.com/mapas.htmlFreeMind - software gratuito para mapas mentaleshttp://biblioteca.itesm.mx/blog/?p=65T

    Bravo/Burnes/Carrillo/Mascareas