diseño jerárquico en vhdl - diseño de sistemas digitales · pdf filevhdl....

22
Diseño Jerárquico en VHDL

Upload: nguyennhu

Post on 05-Feb-2018

227 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Diseño Jerárquico en

VHDL

Page 2: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

la programación de extensos diseños mediante la unión de

pequeños bloques; es decir, un diseño jerárquico agrupa varias

entidades electrónicas, las cuales se pueden analizar y simular

de manera individual con facilidad, para luego relacionarlas a

través de un algoritmo de integración llamado

Top Level

Page 3: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Una ventaja importante del diseño jerárquico en la programación

de grandes diseños es la facilidad para trabajar al mismo tiempo

con otros diseñadores (paralelismo)

Page 4: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Metodología de diseño

1) Analizar con detalle el problema y descomponer en bloques

individuales la estructura global.

2) Diseñar y programar módulos individuales (componentes).

3) Crear un paquete de componentes.

4) Diseñar el programa de alto nivel (Top Level).

Page 5: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Ejemplo Secuenciador

El circuito AMD2909. Este dispositivo es un secuenciador de 4

bits desarrollado por la compañía Advanced Micro Devices,

cuya función es transferir a su bus de salida (Y) una de entre

cuatro fuentes internas y externas de datos. En la figura se

muestra la estructura externa del circuito y en la tabla se

indica la función de cada terminal.

Page 6: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 7: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 8: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 9: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 10: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Diseño y programación de

componentes

Diseño del registro (R)

Page 11: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 12: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Diseño del multiplexor

Page 13: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 14: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Contador de microprograma (PC)

Page 15: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 16: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Apuntador de pila (Stack Pointer)

Page 17: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 18: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Creación de un paquete de

componentes

Una vez que se ha diseñado cada módulo que forma la

arquitectura general, se crea un programa que contenga los

componentes de cada una de las entidades de diseño descritas con

anterioridad.

Page 19: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 20: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico

Diseño del programa de alto nivel

(Top Level)

Page 21: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico
Page 22: Diseño jerárquico en VHDL - Diseño de Sistemas Digitales · PDF fileVHDL. la programación de extensos diseños mediante la unión de pequeños bloques; es decir, un diseño jerárquico