desarrollo de modelos del memristor para aplicaciones en ...special mention deserves the third...

119
Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electr´ onicos Por Arvi Naranjo Calder´ on Tesis sometida como requisito parcial para obtener el grado de Maestro en Ciencias con Especialidad en Electr´ onica en el Instituto Nacional de Astrof´ ısica, ´ Optica y Electr´ onica San Andr´ es Cholula, Puebla Supervisada por: Dr. Librado Arturo Sarmiento Reyes, INAOE Dra. Marina Sparvoli, UFABC c INAOE 2018 El autor otorga al INAOE el permiso de reproducir y distribuir copias en su totalidad o en parte de esta tesis

Upload: others

Post on 27-Feb-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Desarrollo de Modelos delMemristor para Aplicaciones en

Circuitos Electronicos

Por

Arvi Naranjo Calderon

Tesis sometida como requisito parcial paraobtener el grado de

Maestro en Ciencias con Especialidad enElectronica

en el

Instituto Nacional de Astrofısica, Optica yElectronica

San Andres Cholula, Puebla

Supervisada por:

Dr. Librado Arturo Sarmiento Reyes, INAOEDra. Marina Sparvoli, UFABC

c©INAOE 2018El autor otorga al INAOE el permiso de

reproducir y distribuir copias en su totalidad o enparte de esta tesis

4

Desarrollo de Modelos del Memristor paraAplicaciones en Circuitos Electronicos

Tesis de Maestrıa

Por:

Arvi Naranjo Calderon

Asesores:

Dr. Librado Arturo Sarmiento Reyes

Dra. Marina Sparvoli

Instituto Nacional de Astrofısica Optica y Electronica

Coordinacion de Electronica

San Andres Cholula, Puebla. 5 de septiembre de 2018

i

Este trabajo presenta la culminacion e inicio de una nueva etapa de mi vida, la

cual me ha permitido tener muchas aventuras que me han hecho crecer en el area

profesional como personal. Durante esta etapa muchas personas han pasado por mi

vida dejando una huella, de gran relevancia; Por lo que agradezco primero a Dios y

a mis padres, Nancy Calderon y Abel Naranjo, por brindarme su apoyo incondicional

en cada paso de mi vida. A mi hermano Jenner Naranjo por su apoyo en el momento

oportuno.

Especial agradecimiento al Dr. Arturo Sarmiento Reyes por su asesoramiento y

direccion, ası como sus observaciones y comentarios que contribuyen en gran manera

en la elaboracion de este trabajo de tesis.

A la Dra. Marina Sparvoli, por su apoyo y orientacion durante la estancia

realizada en la Universidade Federal do ABC. A mis amigos y companeros del grupo

de trabajo CAD, INAOE; ası como mis amigos de la Juvenil.

Agradezco al Consejo Nacional de Ciencia y Tecnologıa (CONACYT) por finan-

ciar el desarrollo de este proyecto y mi formacion como maestro en ciencias.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

Resumen

En la presente tesis, se han desarrollado modelos analıticos del memristor que se

pueden usar para llevar a cabo la simulacion de circuitos memristivos. Los modelos

pueden ser codificados en el lenguaje de descripcion de hardware VERILOG-A para

ser incorporados en archivos de entrada (netlist) de HSPICE, o bien codificados en

el lenguaje de descripcion en MATLAB.

Se presentan tres modelos con naturaleza diferente. Primeramente, un mo-

delo completamente analıtico para el memristor de HP para el que se obtiene

una familia de expresiones de memristancia que contienen terminos armonicos

variantes en el tiempo. El segundo modelo, asociado tambien al memristor de HP,

consiste en una funcion de rama que relaciona la carga electrica con el flujo magnetico.

Mencion especial merece el tercer modelo, pues este proviene de un dispositivo

fabricado en el Departamento de Ciencias e Ingenierıa de Materiales, en los Laborato-

rios de la Universidade Federal do ABC (UFABC), en Sao Paulo, Brasil. Mediciones,

caracterizacion y extraccion del modelo muestran excelentes concordancias.

La mayor contribucion del trabajo reside en el hecho de que se ha demostrado

que los modelos analıticos del memristor son factibles de utilizarse en la simulacion

de circuitos que exhiben caos.

[iii]

Abstract

In this dissertation, analytical models for the memristor have been developed. The

resulting models can be coded in the hardware description language VERILOG-A

to be incorporated into HSPICE input files (netlist), or encoded in the descrip-

tion language in MATLAB, in order to carry out the simulation of memristive circuits.

We introduce three different models. The first one is a fully analytical model of

the HP memristor that is recast in a family of harmonic time-dependent functions.

The second model is also associated to the HP memristor and it consists in a constitu-

tive branch relationship that relates the electric charge with the magnetic flux linkage.

Special mention deserves the third model, because it comes from device that

was actually fabricated at the facilities of the Department of Materials Science and

Engineering, of the Federal University of ABC (UFABC), in Sao Paulo, Brazil.

Measurements, characterization and the extracted model show excellent agreement.

The main contribution of the research resides in the fact it has been demonstrated

that analytical memristor models are suitable for the simulation of chaotic circuits.

[v]

Tabla de Contenido

Resumen III

Abstract V

Lista de Figuras XI

Lista de Tablas XV

1. Introduccion 1

1.1. Objetivo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

1.2. Estructura de la Tesis . . . . . . . . . . . . . . . . . . . . . . . . . . 2

2. Fundamentos teoricos 4

2.1. Memristor y Sistemas Memristivos . . . . . . . . . . . . . . . . . . . 4

2.1.1. El Memristor de HP . . . . . . . . . . . . . . . . . . . . . . . 7

2.1.2. Huellas de Identidad del Memristor . . . . . . . . . . . . . . . 9

2.2. Otros Mem-Elementos . . . . . . . . . . . . . . . . . . . . . . . . . . 11

2.2.1. Memcapacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

2.2.2. Meminductor . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

2.3. Taxonomıa de Mem-Elementos . . . . . . . . . . . . . . . . . . . . . . 15

2.4. Emulador de mem-elementos . . . . . . . . . . . . . . . . . . . . . . . 17

2.4.1. Emulador de memcapacitor . . . . . . . . . . . . . . . . . . . 18

2.4.2. Emulador de meminductor . . . . . . . . . . . . . . . . . . . . 20

3. Desarrollo de Modelos 22

3.1. Modelo Armonico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

3.2. Modelo carga-flujo . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

[vii]

viii TABLA DE CONTENIDO

4. Fabricacion de Dispositivo Memristivo 32

4.1. Dispositivos de Transicion Resistiva . . . . . . . . . . . . . . . . . . . 32

4.1.1. Fenomenos de Conmutacion Resistiva . . . . . . . . . . . . . . 35

4.2. Fabricacion del Dispositivo . . . . . . . . . . . . . . . . . . . . . . . . 37

4.2.1. Mediciones Electricas . . . . . . . . . . . . . . . . . . . . . . . 39

4.2.2. Resultados de las Mediciones Electricas . . . . . . . . . . . . . 41

4.3. Extraccion del Modelo . . . . . . . . . . . . . . . . . . . . . . . . . . 45

4.3.1. Procedimiento de Aproximacion . . . . . . . . . . . . . . . . . 48

4.3.2. Resultados de las Aproximaciones . . . . . . . . . . . . . . . . 52

5. Aplicaciones en Circuitos Caoticos 62

5.1. Circuito 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

5.1.1. Resultados de H-SPICE Usando el Modelo Armonico . . . . . 64

5.1.2. Resultados de H-SPICE Usando el Modelo Comportamental . 65

5.1.3. Resultados de MATLAB Usando el Modelo Armonico . . . . . 66

5.2. Circuito 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

5.2.1. Resultados de MATLAB Usando el Modelo q-φ . . . . . . . . 68

5.3. Circuito 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

5.3.1. Resultados de MATLAB Usando el Modelo q-φ . . . . . . . . 70

6. Conclusiones 73

Apendices 75

A. Aproximaciones 77

A.1. Aproximaciones para la zona 1 . . . . . . . . . . . . . . . . . . . . . . 77

A.2. Aproximaciones para la zona 2 . . . . . . . . . . . . . . . . . . . . . . 78

A.3. Aproximaciones para la zona 3 . . . . . . . . . . . . . . . . . . . . . . 79

A.4. Aproximaciones para la zona 4 . . . . . . . . . . . . . . . . . . . . . . 79

A.5. Aproximaciones para la zona 5 . . . . . . . . . . . . . . . . . . . . . . 80

A.6. Aproximaciones para la zona 6 . . . . . . . . . . . . . . . . . . . . . . 81

A.7. Aproximaciones para la zona 7 . . . . . . . . . . . . . . . . . . . . . . 81

A.8. Aproximaciones para la zona 8 . . . . . . . . . . . . . . . . . . . . . . 82

A.9. Aproximaciones para la zona 9 . . . . . . . . . . . . . . . . . . . . . . 83

A.10.Aproximaciones para la zona 10 . . . . . . . . . . . . . . . . . . . . . 83

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

TABLA DE CONTENIDO ix

B. Codigo de Verilog-A para el Modelo Armonico 85

B.1. Codigo para Orden 1 y k=5 . . . . . . . . . . . . . . . . . . . . . . . 85

B.2. Codigo para Orden 2 y k=5 . . . . . . . . . . . . . . . . . . . . . . . 87

B.3. Codigo para Orden 3 y k=5 . . . . . . . . . . . . . . . . . . . . . . . 89

C. Codigo de Verilog-A para el Modelo Comportamental 90

D. Circuito 1: Resultados del Modelo Armonico de Orden 2 y 3 93

D.1. Resultados con el Modelo de Orden 2 y k = 5 . . . . . . . . . . . . . 93

D.2. Resultados con el Modelo de Orden 3 y k = 5 . . . . . . . . . . . . . 94

Bibliografıa 95

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

x TABLA DE CONTENIDO

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Lista de Figuras

2.1. Elementos basicos de circuito . . . . . . . . . . . . . . . . . . . . . . 5

2.2. Memristor HP. Tomada de [4] . . . . . . . . . . . . . . . . . . . . . . 7

2.3. (a) Sin aplicar voltaje, (b) Aplicando un voltaje positivo y (c) Apli-

cando un voltaje negativo . . . . . . . . . . . . . . . . . . . . . . . . 8

2.4. Estructura del Memristor de HP . . . . . . . . . . . . . . . . . . . . . 9

2.5. Lazo de histeresis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

2.6. Area del lazo de histeresis vs frecuencia ω . . . . . . . . . . . . . . . 11

2.7. Degradacion del area de histeresis . . . . . . . . . . . . . . . . . . . . 11

2.8. Mem-elementos y elementos de circuito basico . . . . . . . . . . . . . 12

2.9. Sımbolo del memcapacitor . . . . . . . . . . . . . . . . . . . . . . . . 13

2.10. Sımbolo del meminductor . . . . . . . . . . . . . . . . . . . . . . . . 14

2.11. Capacitancia equivalente C(t) extraıda numericamente de las senales

Vin y V2.Tomada de [19] . . . . . . . . . . . . . . . . . . . . . . . . . 17

2.12. Emulador de memcapacitor . . . . . . . . . . . . . . . . . . . . . . . 19

2.13. Emuladores de meminductor . . . . . . . . . . . . . . . . . . . . . . . 20

3.1. Funcion de ventana de Joglekar evaluada para algunos valores de k . 23

3.2. Curvas de IV y MI . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

3.3. Curvas piecewise linear . . . . . . . . . . . . . . . . . . . . . . . . . . 29

3.4. Curvas carga-flujo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

4.1. Estructura tipo capacitor . . . . . . . . . . . . . . . . . . . . . . . . . 33

4.2. Estructura planar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

4.3. Curvas I-V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

4.4. Curva de comportamiento unipolar . . . . . . . . . . . . . . . . . . . 35

4.5. Esquema de un mecanismo de conmutacion unipolar. Tomada de [25] 36

[xi]

xii LISTA DE FIGURAS

4.6. Curvas I − V tıpica de un dispositivo bipolar . . . . . . . . . . . . . 37

4.7. Dispositivo memristivo . . . . . . . . . . . . . . . . . . . . . . . . . . 38

4.8. Proceso de recubrimiento por inmersion . . . . . . . . . . . . . . . . . 38

4.9. Busqueda de Vset y Vreset . . . . . . . . . . . . . . . . . . . . . . . . . 40

4.10. Busqueda de Vset y Vreset . . . . . . . . . . . . . . . . . . . . . . . . . 40

4.11. Rango de busqueda . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

4.12. Busqueda de parametros caracterısticos . . . . . . . . . . . . . . . . . 42

4.13. Busqueda de parametros caracterısticos . . . . . . . . . . . . . . . . . 43

4.14. Rampa de voltaje experimental . . . . . . . . . . . . . . . . . . . . . 44

4.15. Resistencia y corriente en funcion del tiempo . . . . . . . . . . . . . . 44

4.16. Mapeos de resistencia en funcion de voltaje y corriente . . . . . . . . 45

4.17. Lazo de histeresis I − V . . . . . . . . . . . . . . . . . . . . . . . . . 46

4.18. Division de R(t) en 10 zonas . . . . . . . . . . . . . . . . . . . . . . . 49

4.19. Division de R(t) en 10 zonas . . . . . . . . . . . . . . . . . . . . . . . 50

4.20. Curvas de aproximacion de resistencia y corriente . . . . . . . . . . . 53

4.21. Curvas de aproximacion de resistencia y corriente . . . . . . . . . . . 54

4.22. Curvas de aproximacion de resistencia y corriente . . . . . . . . . . . 55

4.23. Curvas de aproximacion de resistencia de las zonas 4 a la 10 . . . . . 57

4.24. Curvas de resistencia y corriente del modelo . . . . . . . . . . . . . . 60

4.25. Lazo de histeresis del modelo . . . . . . . . . . . . . . . . . . . . . . 60

5.1. Circuito 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

5.2. Emulador de memcapacitor . . . . . . . . . . . . . . . . . . . . . . . 63

5.3. Circuito caotico con el emulador del memcapacitor . . . . . . . . . . 63

5.4. Curvas y diagramas de fase con modelo de orden 1 y k=5 . . . . . . . 65

5.5. Curvas y diagramas de fase con modelo comportamental . . . . . . . 66

5.6. Diagramas de fase de las variables de estado del circuito 1 . . . . . . 66

5.7. Circuito 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

5.8. Diagramas de fase de las variables de estado del circuito 2 . . . . . . 69

5.9. Circuito 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

5.10. Diagramas de fase de las variables de estado del circuito 3 . . . . . . 70

A.1. Aproximacion de la resistencia dependiente del tiempo en la zona 1 . 77

A.2. Aproximacion de la resistencia dependiente del tiempo en la zona 2 . 78

A.3. Aproximacion de la resistencia dependiente del tiempo en la zona 3 . 79

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

LISTA DE FIGURAS xiii

A.4. Aproximacion de la resistencia dependiente del tiempo en la zona 4 . 80

A.5. Aproximacion de la resistencia dependiente del tiempo en la zona 5 . 80

A.6. Aproximacion de la resistencia dependiente del tiempo en la zona 6 . 81

A.7. Aproximacion de la resistencia dependiente del tiempo en la zona 7 . 82

A.8. Aproximacion de la resistencia dependiente del tiempo en la zona 8 . 82

A.9. Aproximacion de la resistencia dependiente del tiempo en la zona 9 . 83

A.10.Aproximacion de la resistencia dependiente del tiempo en la zona 10 . 84

D.1. Curvas y diagramas de fase con modelo de orden 2 y k=5 . . . . . . . 93

D.2. Curvas y diagramas de fase con modelo de orden 3 y k=5 . . . . . . . 94

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

xiv LISTA DE FIGURAS

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Lista de Tablas

3.1. Expresiones para los polinomios generados en Orden3 . . . . . . . . . 27

3.2. Parametros del memristor de HP . . . . . . . . . . . . . . . . . . . . 27

3.3. Parametros tıpicos del modelo homotopico . . . . . . . . . . . . . . . 27

4.1. Parametros caracterısticos del dispositivo Al/Graf/ITO . . . . . . . 43

4.2. Coeficientes de la funcion de ajuste . . . . . . . . . . . . . . . . . . . 53

4.3. Porcentaje de similitud entre los datos experimentales y la funcion de

aproximacion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

4.4. Coeficientes de la funcion de ajuste . . . . . . . . . . . . . . . . . . . 54

4.5. Porcentaje de similitud entre los datos experimentales y la funcion de

aproximacion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

4.6. Coeficientes de la funcion de ajuste . . . . . . . . . . . . . . . . . . . 55

4.7. Porcentaje de similitud entre los datos experimentales y la funcion de

aproximacion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

4.8. Intervalos de tiempo del dispositivo . . . . . . . . . . . . . . . . . . . 56

4.9. Ecuaciones de ajuste correspondientes a las zonas 4-10 . . . . . . . . 56

4.10. Coeficientes de las funciones de ajuste de las zonas 4-10 . . . . . . . . 57

4.11. Porcentaje de similitud de la zona 4 a la 10 . . . . . . . . . . . . . . . 58

5.1. Esquema de resultados . . . . . . . . . . . . . . . . . . . . . . . . . . 62

5.2. Valores de los elementos del circuito caotico . . . . . . . . . . . . . . 64

5.3. Valores de los elementos del circuito caotico . . . . . . . . . . . . . . 68

5.4. Valores de los elementos del circuito caotico . . . . . . . . . . . . . . 70

A.1. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

[xv]

xvi LISTA DE TABLAS

A.2. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

A.3. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

A.4. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

A.5. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

A.6. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

A.7. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

A.8. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

A.9. Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

A.10.Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la

zona 10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Capıtulo 1

Introduccion

La historia del desarrollo de memristor tiene dos acontecimientos importantes.

El artıculo del Prof. L.O. Chua de 1971 [1] es aclamado por unanimidad, tanto por

teoricos de circuitos como ingenieros electricos, como el primer hito en el desarrollo

de memristor. Aquı, el memristor fue presentado como el cuarto elemento basico de

circuitos que cierra el cırculo de las principales variables electricas, tension, corriente,

carga electrica y flujo magnetico.

La fabricacion real de un memristor en los Laboratorios Hewlett-Packard en 2008

constituye el segundo hito en el desarrollo de memristor [2]. Desde el advenimiento

del memristor como un dispositivo real, un impulso importante de la investigacion

se ha orientado a desarrollar aplicaciones del memristor en el diseno de circuitos y el

procesamiento de senales.

Como consecuencia, ha fomentado la investigacion y desarrollo de modelos del

memristor ası como tambien a nivel fabricacion, en el sentido de manufacturar es-

tructuras que presenten caracterısticas memristivas que sean adecuadas para alguna

aplicacion en especıfico.

Esta tesis esta enfocada al desarrollo de modelos de memristores y dispositivos

memristivos. En el aspecto matematico, se desarrollan dos modelos que se expresan en

una funcion de memristancia como funcion del tiempo y como una funcion constitutiva

de rama carga-flujo.

En el aspecto practico, se genera el modelo de un dispositivo fabricado con pelıcu-

las delgadas de grafeno depositadas sobre un substrato de aluminio y que utilizan

contactos de oxido de estano e indio (ITO).

En conjunto, los tres modelos desarrollados en el presente trabajo se aplican a la

simulacion electrica de circuitos caoticos.

[1]

2 1. Introduccion

1.1. Objetivo

El objetivo principal de esta tesis es desarrollar modelos de memristor que se

puedan utilizar en aplicaciones de caos.

Para llevarlo a cabo se plantean lo siguientes objetivos especıficos:

Desarrollar modelos analıticos del memristor para aplicaciones en circuitos que

exhiben caos.

Fabricacion de dispositivos de memoria resistiva.

Determinar el modelo de los dispositivos fabricados.

Incluir los modelos desarrollados en el presente trabajo de tesis en un ambiente

de simulacion electrica.

1.2. Estructura de la Tesis

En el capıtulo 2 se presentan los fundamentos teoricos del memristor ası como

de los sistemas memristivos. Adicionalmente se presentan otros mem-elementos tales

como el memcapacitor y el meminductor. En el capıtulo 3 se muestra el desarrollo

de dos modelos analıticos del memristor, el primero es un modelo que resulta en una

funcion armonica de memristancia, mientras que el segundo modelo esta expresado

en una funcion de rama carga-flujo.

En el capıtulo 4 se describe el trabajo de fabricacion, caracterizacion y extraccion

del modelo de un dispositivo memristivo fabricado en el Departamento de Ciencias e

Ingenierıa de Materiales, en los Laboratorio de la Universidade Federal do ABC en

Sao Paulo Brasil.

En el capıtulo 5 se presentan los resultados de los tres modelos desarrollados cuan-

do se utilizan en circuitos caoticos. Finalmente se desglosan conclusiones y trabajo a

futuro.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Capıtulo 2

Fundamentos teoricos

En este capıtulo se presentan, en primer lugar los conceptos fundamentales relacio-

nados con memristores y sistemas memristivos. Adicionalmente se realiza una breve

descripcion del memristor HP con la finalidad de introducir la ecuacion diferencial

que gobierna su funcionamiento.

Ası mismo, las principales senas de identidad del memristor son desglosadas con la

finalidad de establecer las propiedades que todo modelo del dispositivo debe cumplir.

Especial atencion se dedica a exponer brevemente otros mem-elementos y la ta-

xonomıa que los describe.

2.1. Memristor y Sistemas Memristivos

En el articulo inicial del Prof. L.O. Chua 1971 [1], el memristor fue introducido

como el cuarto elemento basico de circuito que cierra el ciclo alrededor de las variables

electricas fundamentales, voltaje (v), corriente (i), carga electrica (q) y flujo magnetico

(φ). El memristor posee una relacion constitutiva de rama que relaciona directamente

la carga electrica con el flujo magnetico, como se representa en la Figura 2.1. En este

esquema, las lıneas diagonales punteadas denotan las conocidas relaciones integrales:

q(t) =

∫ t

−∞i(τ)dτ (2.1)

φ =

∫ t

−∞v(τ)dτ (2.2)

[4]

2.1 Memristor y Sistemas Memristivos 5

Memristor

Cap

acit

or

Ind

uct

or

Resistor

φq

iv

Figura 2.1: Elementos basicos de circuito

Chua matematicamente predijo que existe un dispositivo, que define la relacion

faltante entre las cuatro variables basicas [1]. Esta relacion establece que el memristor

puede ser controlado por flujo o controlado por carga:

q(t) = gM(φ) φ(t) = fM(q)

Controlado por flujo Controlado por carga(2.3)

donde fM es cualquier funcion que depende de la carga y gM es una funcion que

depende del flujo. Ademas despues de derivar con respecto a t, ambos terminos de la

ecuacion 2.3, se obtiene

i(t) ,dq(t)

dt=dgM(φ)

dtv(t) ,

dφ(t)

dt=dfM(q)

dt(2.4)

Al aplicar la regla de cadena a las expresiones anteriores, es posible obtener

i(t) =dgM(φ) dφ

dφ dtv(t) =

dfM(q) dq

dq dt(2.5)

Por lo tanto, la corriente de un memristor controlado por flujo y el voltaje de un

memristor controlado por carga estan dados por

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

6 2. Fundamentos teoricos

i(t) =dgM(φ)

dφv(t) v(t) =

dfM(q)

dqi(t) (2.6)

Las expresiones se pueden definir como

i(t) = W (φ) v(t) v(t) = M(q) i(t) (2.7)

donde W (φ) es la memconductancia y M(q) representa la memresistancia; En

1976, Chua y Kang [3] consideraron el memristor como un caso particular de una

clase general de sistemas dinamicos, llamados sistemas memristivos que pueden ser

definidos como

x = f(x, ζ, t) y = g(x, ζ, t)ζ (2.8)

donde x representa el estado del sistema, mientras que la salida y la entrada del

sistema estan representadas por y e ζ respectivamente.

El sistema de ecuaciones 2.8 abre la posibilidad de dos tipos diferentes de sistemas

memristivos: controlados por voltaje y corriente. Los sistemas memristivos controlados

por corriente y por voltaje estan representados respectivamente por

x = f(x, i, t) x = f(x, v, t)

v = R(x, i, t)i i = G(x, v, t)v

Controlado por corriente Controlado por voltaje

(2.9)

donde v e i denotan el voltaje y la corriente, respectivamente.

En el trabajo sobre la concepcion original del memristor se demostro mediante

la construccion de modelos de laboratorio con la ayuda de circuitos activos [1]. El

mutador M-R se utilizo para transformar la curva caracterıstica v-i de la resistencia

no lineal en la curva caracterıstica q-φ correspondiente del memristor. La ausencia

de un dispositivo fısico fue la principal desventaja para demostrar las propiedades y

aplicaciones potenciales de los memristores.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.1 Memristor y Sistemas Memristivos 7

2.1.1. El Memristor de HP

Sin lugar a dudas, la fabricacion del memristor en los Laboratorios Hewlett-

Packard en 2008 constituye el segundo hito en el desarrollo del memristor [2]. El

memristor de HP es un nodo (Figura 2.2) en una estructura entretejida compuesta

por una pelıcula de oxido de titanio (TiO2) entre dos electrodos de platino (Pt).

Figura 2.2: Memristor HP. Tomada de [4]

La pelıcula de TiO2 tiene dos capas: la primera actua como capa aislante, tiene

una relacion oxıgeno-titanio de 2:1, la segunda actua como conductor, tiene una

disminucion de oxıgeno de 0.5 % (TiO2−x; x = 0.005).

Las deficiencias de oxıgeno en el TiO2 se manifiestan como ”burbujas de vacancias

de oxıgeno dispersas por la capa superior Figura 2.3(a). Un voltaje positivo en el

dispositivo repele las deficiencias de oxıgeno (positivas) en la capa metalica superior

del TiO2, enviandolas a la capa aislante del TiO2, a continuacion esto hace que el

lımite entre los dos materiales se mueva hacia abajo Figura 2.3(b), aumentando

el porcentaje de conduccion del TiO2 y, por lo tanto, la conductividad de todo

el dispositivo. Cuanto mas voltaje positivo se aplica, mas conductivo se vuelve el cubo.

Un voltaje negativo en el dispositivo atrae las burbujas de oxıgeno cargadas

positivamente, sacandolas del TiO2. La cantidad del TiO2 aislante y resistivo

aumenta, lo que hace que el dispositivo sea totalmente resistente. Cuanto mas voltaje

negativo se aplica, menos conductivo se convierte el cubo.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

8 2. Fundamentos teoricos

Lo que hace que este dispositivo sea especialmente memristivo es que cuando el

voltaje se desactiva, positivo o negativo, las burbujas de oxıgeno no migran. Se quedan

donde estan, lo que significa que el lımite entre las dos capas de dioxido de titanio se

queda estatica. Ası es como el memristor conserva cuanto voltaje se aplico por ultima

vez.

(a) (b) (c)

Figura 2.3: (a) Sin aplicar voltaje, (b) Aplicando un voltaje positivo y (c) Aplicando un voltaje negativo

La longitud total del dispositivo (region dopada y no dopada) esta dada por la

variable ∆, mientras que la longitud de la region dopada se denota por la variable

w. En funcion del numero de dopantes, cada region tiene una resistencia asociada.

La resistencia para la region dopada se denomina Ron (resistencia del estado ON del

dispositivo) y la asociada a la region no dopada se denomina Roff (resistencia del

estado OFF del dispositivo). La resistencia equivalente es la suma de la resistencia

total en cada region:

Req = Ronw

∆+Roff (1−

w

∆) (2.10)

La longitud w es normalizada en la forma x = w∆

, donde x se denomina la variable

de estado normalizada del memristor y puede tomar un valor entre 0− 1. La variable

x puede ser controlada por la corriente i(t) que pasa a traves del elemento, la relacion

de cambio en x(t) es directamente proporcional a la corriente.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.1 Memristor y Sistemas Memristivos 9

Figura 2.4: Estructura del Memristor de HP

dx(t)

dt=µRon

∆2i(t)fw(x) (2.11)

La ecuacion diferencial no lineal 2.11 representa el modelo de arrastre que describe

el mecanismo de modulacion de la interfaz entre las areas dopadas y no dopadas del

dispositivo. En la ecuacion 2.11 µ es la movilidad de las cargas de la region dopada

y es medida en m2

V s, i(t) es un estımulo de corriente y fw(x) es la funcion de ventana

Joglekar que limita la variable de estado x.

2.1.2. Huellas de Identidad del Memristor

Las propiedades que debe cumplir un dispositivo para ser considerado un mem-

ristor, se conocen como huellas o marcas de identidad, cuyo termino en ingles es

fingerprints. Son al menos tres las caracterısticas que debe manifestar segun [4], [5]

y [6], las cuales se mencionan en los siguientes apartados.

Lazo de histeresis pellizcado (estrangulado) (V-I)

La primera huella de un memristor es el ciclo de histeresis pellizcado. El memristor

exhibe una caracterıstica unica llamada “lazo de histeresis pellizcado”que permite que

los dispositivos memristivos se distingan de los dispositivos no memristivos. Chua

en [7] ha llamado a esta caracterıstica correctamente como la huella digital de un

memristor.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

10 2. Fundamentos teoricos

Figura 2.5: Lazo de histeresis

Siempre el ciclo de histeresis debe pasar por el punto v = 0 y i = 0 para cualquier

amplitud A posible, y cualquier frecuencia ω posible de la entrada sinusoidal como se

muestra en Figura 2.5.

El area del lobulo de histeresis disminuye a medida que aumenta la fre-

cuencia

Otra huella del memristor es la dependencia del area del lobulo de histeresis con

la frecuencia de la senal de excitacion periodica. Esta propiedad afirma que por en-

cima de cierta frecuencia crıtica, el area del lobulo de histeresis pellizcado disminuye

monotonamente a medida que aumenta la frecuencia ω de la senal periodica de en-

trada de tension v(t) o corriente i(t) (Figura 2.6).

El lazo de histeresis se degrada en funcion de la frecuencia

Esta propiedad afirma que aunque la forma del lazo de histeresis que caracteriza

a todos los memristores no es fija, sino que depende de la forma de onda del voltaje

v(t) de excitacion periodica o de la corriente i(t) de excitacion, todos deben tender

a una funcion de valor unico a traves del origen, conforme la frecuencia tiende al

infinito (Figura 2.7).

En otras palabras, dicho lazo de histeresis debe decrecer a medida que la frecuencia

ω aumenta; cuando esta tiende a infinito, el dispositivo se debe comportar como un

resistor lineal.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.2 Otros Mem-Elementos 11

Figura 2.6: Area del lazo de histeresis vs frecuencia ω

Figura 2.7: Degradacion del area de histeresis

2.2. Otros Mem-Elementos

La estructura representada en la Figura 2.1 se ha extendido al llamado segundo

piso de las variables electricas mediante la adicion de otros mem-elementos, a saber, el

memcapacitor y el meminductor [8], [9], [10], [11], [12], como se muestra en la Figura

2.8. El memcapacitor relaciona la carga electrica con la variable de estado ρ, mientras

que el meminductor relaciona el flujo magnetico con la variable de estado σ. Para

estos elementos, las relaciones cruzadas son:

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

12 2. Fundamentos teoricos

ρ(t) =

∫ t

−∞φ(τ)dτ (2.12)

σ(t) =

∫ t

−∞q(τ)dτ (2.13)

Memristor

Cap

acit

or

Ind

uct

or

Resistor

φq

iv

ρ σM

emin

du

cto

r

Mem

cap

acit

or

Figura 2.8: Mem-elementos y elementos de circuito basico

Estos nuevos elementos de circuito con memoria muestran lazos de histeresis en

las dos variables constitutivas que los definen: voltaje-carga para el memcapacitor y

flujo-corriente para el meminductor. En lo sucesivo, los conceptos de memcapacitor

y meminductor se desarrollan matematicamente con el proposito de determinar la

relacion entre las variables constitutivas.

2.2.1. Memcapacitor

El capacitor con memoria o memcapacitor es un elemento de dos terminales (Fi-

gura 2.9) cuya relacion constitutiva esta representada por

∫ t

−∞q(τ)dτ = σ(t) = f(q) (2.14)

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.2 Otros Mem-Elementos 13

Figura 2.9: Sımbolo del memcapacitor

Derivando con respecto al tiempo t, ambos terminos de 2.14, se tiene que

q(t) ,dσ

dt=df(φ)

dt(2.15)

Al aplicar la regla de la cadena a la expresion anterior, es posible obtener

q(t) =df(φ) dφ

dφ dt(2.16)

La ecuacion 2.16 se puede reorganizar en dos formas diferentes

q(t) =d(σ) dφ

dφ dt

dt=dφ

dσq(t) (2.17)

Las expresiones de arriba pueden ser simplificadas como

q(t) , C(φ)v(t) v(t) , D(σ)q(t)

controlado por voltaje controlado por carga(2.18)

donde

C(φ) =dσ

dφD(σ) =

d(φ)

dσ(2.19)

se llaman memcapacitancia y memelastancia, respectivamente.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

14 2. Fundamentos teoricos

2.2.2. Meminductor

El meminductor o inductor con memoria es un elemento de dos terminales (Figura

2.10) cuyas variables constitutivas estan relacionadas por

Figura 2.10: Sımbolo del meminductor

∫ t

−∞φ(τ)dτ = ρ(t) = f(q) (2.20)

Despues de aplicar algunos pasos de derivadas con respecto al tiempo t, ambos

terminos de 2.20, da como resultado

φ(t) ,dρ

dt=df(q)

dt(2.21)

La regla de la cadena se utiliza en la expresion anterior para obtener

φ(t) =df(q) dq

dq dt(2.22)

La ecuacion 2.22 se puede ordenar de dos formas diferentes

φ(t) =d(ρ) dq

dq dt

dq

dt=dq

dρφ(t) (2.23)

Estas expresiones se pueden reducir como

φ(t) , L(q)i(t) i(t) , Γ(ρ)φ(t)

controlado por corriente controlado por flujo(2.24)

donde

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.3 Taxonomıa de Mem-Elementos 15

L(q) =dρ

dqΓ(ρ) =

dq

dρ(2.25)

se llaman meminductance y memreluctancia, respectivamente.

2.3. Taxonomıa de Mem-Elementos

Chua propuso un Cuadrado Elemental de Elementos de Circuito que incluye los

tres elementos clasicos (resistencia, inductor y capacitor) y su memristor formu-

lado y nombrado como el cuarto elemento. Basado en la observacion de que este

cuadrangulo puede no ser simetrico, en el trabajo [12] se propone un triangulo de

elementos de circuito elemental, en el cual el memristor ası como el memcapacitor

y el meminductor lideran tres clases de elementos basicos. Ademas se encuentra

una relacion matematica intrınseca que respalda esta nueva clasificacion. Se cree

que este triangulo es conciso, matematicamente solido y esteticamente atractivo, en

comparacion con el cuadrangulo de Chua.

La importancia de encontrar una tabla correcta de elementos de circuito es

similar a la de la tabla periodica de Mendeleev de elementos quımicos en quımica y la

tabla de 61 partıculas elementales en fısica, en terminos de categorizar los elementos

existentes y predecir nuevos elementos.

En esta taxonomıa, se considera que fısicamente el flujo magnetico φ y la carga

electrica q son caracterısticas fundamentales para describir un objeto. En otras pala-

bras, se trata de caracterısticas internas asociadas con el material del dispositivo y su

mecanismo de operacion fısico. Por el contrario, el voltaje v y la corriente, podrıan

derivarse de φ y q, como v = dφdt

(Ley de Faraday) y i = dqdt

(por definicion). Aunque se

usa convenientemente en la practica, el voltaje y la corriente solo muestran medidas

externas de un objeto.

A continuacion se presentan tres evidencias de que flujo magnetico y carga electrica

son fısicamente intrınsecas y basicas

La primera evidencia es el hecho de que flujo magnetico y carga electrica exhi-

ben una funcion de memoria en un memristor, mem-capacitor o mem-inductor

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

16 2. Fundamentos teoricos

[17]. Esto es lo que se llama (“mem”es la abreviatura de “memoria”, matemati-

camente la integral de tiempo de i o v). Por ejemplo, en un memristor, cuando

se desconecta la alimentacion, tanto el voltaje como la corriente se vuelven cero

instantaneamente, pero el memristor no pierde su valor de φ y q [2]. En realidad,

φ y q se consideran “estados”de un memristor ideal, que mantienen el resultado

de lo que sucedio la ultima vez.

Otra evidencia es el trabajo sobre el llamado fenomeno de “Interrupcion retar-

dada”(Delayed Switching), es decir, la conmutacion de un memristor tiene lugar

con un retraso de tiempo [15]. La interpretacion fısica es que la carga o flujo

posee cierta inercia con la tendencia a permanecer inalterado (establecerse en

algun estado de equilibrio). No puede responder tan rapido como la variacion

rapida en la forma de onda de excitacion y toma siempre un intervalo de tiempo

finito pero pequeno para que el memristor cambie su valor de resistencia. No

hace falta decir que esta caracterıstica natural podrıa ser muy util en los futu-

ros paradigmas informaticos y la tecnologıa de la informacion moderna, como

memorias de computadora basadas en memristor [15], redes neuronales basadas

en memristor, y la ingenierıa neuromorfica basada en memristor [16].

La tercera evidencia es la dependencia de la frecuencia de los PHL (por sus

sigla en ingles Pinched Hysteresis Loop). A medida que la frecuencia ω de una

excitacion de v o i tienda a ∞, el ciclo de histeresis se reduce y eventualmente

se colapsa en una lınea recta a traves del origen [2], [17].

El triangulo de elementos basicos se representa en la Figura 2.11 y se cree que

son dos atributos fısicos basicos que generan elementos de circuito elementales. Al

compartir la misma unidad SI, cada vertice representa una clase de elementos basicos,

que es equivalente a un “Grupo”en la Tabla Periodica de los Elementos Quımicos de

Mendeleev. Ademas, cada vertice incluye mas subclases (equivalentes a “Perıodo”en

la Tabla de Mendeleev). En lugar de ser solo el cuarto elemento, el memristor tiene

un papel mas importante que desempenar: liderar una clase de elementos basicos.

De manera similar, el mem-capacitor y el mem-inductor conduciran otras dos clases,

como se muestra en la Figura 2.11.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.4 Emulador de mem-elementos 17

Figura 2.11: Capacitancia equivalente C(t) extraıda numericamente de las senales Vin y V2.Tomada de [19]

Este triangulo tambien puede expandirse infinitamente hacia adentro y hacia

afuera para tener las contrapartes de orden superior o inferior de los vertices

(∫ ∫

,∫ ∫ ∫

, ... d2

dt2, d

3

dt3...).

2.4. Emulador de mem-elementos

Los sistemas memcapacitivos y meminductivos son dos clases recientemente

postuladas de elementos de circuito con memoria [10] que complementan la clase de

sistemas memristivos [1], [3]. Su caracterıstica principal es un ciclo de histeresis, que

puede o no pasar por el origen [10] en sus variables constitutivas (carga-voltaje para

los memcapacitores y flujo-corriente para los meminductores) cuando es impulsado

por una entrada periodica y, a diferencia de los memristores, ellos pueden almacenar

energıa.

Hasta la fecha, se han encontrado algunos sistemas para operar como memcapa-

citores y meminductores (ver [10]). Sin embargo, todavıa no estan disponibles en el

mercado, ni sus propiedades se pueden sintonizar facilmente para investigar su rol

en circuitos mas complejos. Por lo tanto, resultan altamente valiosos los emuladores

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

18 2. Fundamentos teoricos

electronicos de tales elementos de memoria que podrıan construirse y sintonizarse

facilmente.

Ademas existen otros tipos de emuladores descritos como: Mutadores que simulan

memcapacitores y meminductores [13] y Emulacion de memcapacitors flotantes y

meminductores usando transportadores actuales [14].

A continuacion se presentan 2 emuladores especıficos basados en topologıas con

memristor, un capacitor, un resistor y un amplificador operacional que ilustran el

funcionamiento de emulacion.

2.4.1. Emulador de memcapacitor

Este emulador consiste en un memristor M , un capacitor C1 y una resistencia R

conectados a un amplificador operacional A1, como se muestra en la Figura 2.12a.

Dado que el amplificador operacional mantiene voltajes casi iguales en sus entradas

positiva y negativa, la tension en el capacitor C1 se aplica al terminal derecho de

R. Por lo tanto, podemos pensar que un capacitor efectivo con una capacitancia

dependiente del tiempo C(t) esta conectado al terminal derecho de R, de modo que

la relacion RC(t) = RM(t)C1 se mantiene. (Teniendo en cuenta que la tension en el

capacitor VC es equivalente a la tension, V2, en la terminal negativa del amplificador

operacional.) Esto nos permite determinar la capacitancia como:

C(t) =RM(t)C1

R=

(Vin − V−)

(RdV−dt

)(2.26)

donde

RM(t) =(Vin − V−)

I=

(Vin − V−)

(C1dV−dt

)(2.27)

En el lımite R RM , obtenemos el circuito equivalente aproximado que se

muestra a la derecha de la Figura 2.12a.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

2.4 Emulador de mem-elementos 19

(a) Memcapacitor

(b) Dependencia del tiempo de la senal de vol-taje de entrada Vin y la tension en la en-trada negativa del amplificador operacio-nal A1

(c) Capacitancia equivalente C(t) extraıdanumericamente de las senales Vin y V2a

aTomada de [19]

Figura 2.12: Emulador de memcapacitor

Para demostrar que este circuito emula el comportamiento del memcapacitor, se

ha analizado su respuesta bajo la aplicacion de una senal de onda cuadrada. Esto se

muestra en la Figura 2.12b, donde se muestra tanto la tension de entrada V in como

la tension en el terminal negativo del amplificador operacional, y en la Figura 2.12c la

capacitancia equivalente del emulador de memoria en dos valores de frecuencia de la

senal de onda cuadrada. Los lazos de histeresis claros son visibles en la capacitancia

como una funcion de la tension en el condensador VC = V−.

Tambien observamos que la histeresis de capacitancia depende de la frecuencia:

el bucle es mucho mas pequeno en la frecuencia mas alta de 8 Hz. Esta es una

manifestacion de una propiedad tıpica de los elementos del circuito de memoria [10]

que a altas frecuencias se comportan como elementos lineales.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

20 2. Fundamentos teoricos

2.4.2. Emulador de meminductor

Por otro lado, la estructura de este emulador es similar a la estructura de un

girador con un memristor que reemplaza una resistencia, y la inductancia equivalente

esta dada por L(t) = RRM(t)C1, como es evidente en la Figura 2.13a. En ambos

casos, la dependencia del tiempo de la capacitancia equivalente, C, y la inductancia,

L, se debe a la dependencia del tiempo de RM .

En la Figura 2.13b se ve claramente que la forma de la senal Vin (que en este caso

es igual a la tension en el inductor equivalente VL) depende de la polaridad del voltaje

aplicado. Dado que el estado del memristor en el emulador del meminductor cambia

rapidamente, la inductancia equivalente L conmuta entre dos valores lımite, como se

muestra esquematicamente en la Figura 2.13c.

(a) Meminductor

(b) Dependencia del tiempo de la senal de tension deentrada Vin y la tension V2 = VL en la entradanegativa del amplificador operacional A1

(c) Histeresis del meminductor dibujado con induc-tancia L obtenida usando ajustes exponencialesa senales V2, como se muestra en (b)a

aTomada de [19]

Figura 2.13: Emuladores de meminductor

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Capıtulo 3

Desarrollo de Modelos

En este capıtulo se trata el desarrollo de dos modelos de memristores. El primero se

enfoca a obtener una expresion para la memristancia que permita la relacion ohmica

voltaje-corriente en el dispositivo. El segundo esta enfocado a obtener la relacion

constitutiva de rama del memristor directamente en el plano carga-flujo.

3.1. Modelo Armonico

El origen de partida es la ecuacion diferencial de arrastre no lineal que rige el

comportamiento fısico del dispositivo [1], [20] y que se define en la ecuacion 3.1.

dx(t)

dt= γ1ωRon sin(ωt)fw(x) (3.1)

donde x(t) es la variable de estado normalizada (x = w∆

), ∆ ([m]) es la longitud

total del material semiconductor, y Ron es la resistencia del estado ON. Ademas γ1

esta dada como:

γ1 =µAp

∆2ω(3.2)

Ap es la amplitud de la senal sinusoidal de prueba, y µ es la movilidad de las

cargas [ m2

V s].

La funcion de ventana fw(x) debe ser una funcion limitada entre 0 y 1 tanto

en su dominio como en su rango, tambien en los lımites la funcion debe exhibir un

[22]

3.1 Modelo Armonico 23

estrangulamiento, para modelar el desplazamiento nulo de la resistencia de estado ON

e interfaz de resistencia de estado OFF. Se han reportado varias funciones de ventana

en la literatura [25], [26] y [27]. Todos ellos apuntan a lograr una normalizacion de

la variable de estado preservando el comportamiento fısico de la resistencia. En este

trabajo se utilizara la funcion de ventana de Joglekar [21] que esta dada por:

fw(x) = 1− (2x− 1)2k (3.3)

donde k controla el nivel de linealidad. Cuando k incrementa la linealidad in-

crementa en un rango de 0 a 1 para x, ademas la funcion es simetrica en ambas

direcciones, como se muestra graficamente en la Figura 3.1, donde es evaluada para

varios valores de k.

Figura 3.1: Funcion de ventana de Joglekar evaluada para algunos valores de k

Encontrar una solucion numerica a la ecuacion 3.1 tiene varias deficiencias no solo

en cuanto a la precision y la estabilidad de los algoritmos numericos, sino tambien

a la falta de comprension en la solucion y, por lo tanto, en el comportamiento de la

resistencia. Por lo tanto, se preve una solucion simbolica.

Se ha encontrado una solucion completamente simbolica para la ecuacion diferen-

cial ordinaria en 3.1 al usar el metodo de perturbacion homotopica (HPM por sus

siglas en ingles Homotopy Perturbation Method) de [23], [24]. El HPM introduce un

parametro homotopico p que toma valores que van desde 0 hasta 1.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

24 3. Desarrollo de Modelos

Partiendo de la teorıa de metodo de perturbacion homotopica (HPM), considera-

mos una ecuacion no lineal general en la forma

A(u)− f(r) = 0, r ∈ Ω, (3.4)

con las siguientes condiciones de limite

B(u,∂u

∂η) = 0, r ∈ Γ, (3.5)

donde A es un operador diferencial general, f(t) es una funcion analıtica conocida,

B es un operador de lımites, Γ es el lımite del dominio Ω y ∂u∂η

denota diferenciacion a

lo largo de la normal extraıda de Ω. En la mayorıa de los casos, el operador A se puede

dividir en dos operadores, es decir, L y N , que representan los operadores lineales y

no lineales, respectivamente. Por lo tanto, la ecuacion 3.4 puede ser reescrita como:

L(u) +N(u)− f(t) = 0 (3.6)

En un sentido amplio, una homotopıa se puede construir de la siguiente forma

H(v, p) = (1− p)[L(v)− L(u0)] + p[L(v) +N(v)− f(t)] = 0 (3.7)

donde p es un parametro homotopico, cuyos valores oscilan entre 0 y 1, u0 es la

primera aproximacion a la solucion de la ecuacion 3.6 que satisface las condiciones de

lımite. Supongamos que la solucion para la ecuacion 3.7 se puede escribir como una

serie de potencias de p

v = v0 + v1p+ v2p2 + v3p

3 + ...+ vnpn (3.8)

donde v0, v1, v2, ... son funciones que se determinaran a partir del procedimiento

HPM.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

3.1 Modelo Armonico 25

Sustituyendo la ecuacion 3.8 en la ecuacion 3.7 e igualando potencias identicas

de p, es posible obtener los valores para la secuencia v0, v1, v2, ...

Cuando p −→ 1, genera la solucion aproximada para la ecuacion 3.5 en la forma

u(t) = lımp→1

v = v0 + v1 + v2 + v3 + ...+ vn (3.9)

Un parametro importante que intervine en la complejidad de la solucion simbolica

es n, que se define como el orden de la homotopıa.

Solucion de la Ecuacion diferencial de arrastre no-lineal

Se hallo solucion de la ecuacion 3.1 con la ayuda del metodo de perturbacion

homotopica, que da como resultado la aproximacion de n-esimo orden de la variable

de estado del memristor. Sin embargo para obtener el comportamiento de la mem-

ristancia, se sustituye la solucion de la variable de estado x(t) en la memristancia

que esta dada por la expresion 2.10 asociada a la estructura de resistores acoplados,

que se repite por conveniencia, por lo que la memristancia tambien tendra diferentes

ordenes de aproximacion.

Meq = Ronx(t) +Roff [1− x(t)]

Como se explico anteriormente, el orden de aproximacion dependera de la potencia

usada en p de la formulacion homotopica. Para este caso de estudio se usaran las

aproximaciones de primer (n = 1), segundo (n = 2) y tercer (n = 3) orden de la

memristancia en forma simbolica.

En primer lugar, la expresion totalmente simbolica para el modelo de memristor

armonico de orden 1 y cualquier valor k de la funcion de ventana, esta dada por:

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

26 3. Desarrollo de Modelos

Mo1kn = R2onfwnγ1(α− 1) [−1 + cos(ωt)] +Rinit

fwn = fw(Xo)|k=n = 1− (2Xo− 1)(2n)

Rinit = [Xo+ α(1−Xo)]Ron

(3.10)

En este modelo, Xo es la condicion inicial de la variable de estado, γ1 = µAp∆2ω

y

α =Roff

Ron. Se puede obtener una expresion similar para el modelo armonico de orden

2:

Mo2kn = Mo1kn+

R3onfwnf

′wnγ2

1(α− 1)[−3

4+ cos(ωt)− (1

4) cos(2ωt)

]f ′wn

= −4n(2Xo− 1)2n−1

(3.11)

Para el modelo armonico de orden 3, la memristancia se expresa como:

Mo3kn = Mo2kn

+R4onfwnf

′′wnγ3

1Pxo3kn(Xo)(α− 1)

[554− 5

36cos (ωt) +

118

cos (2ωt)− 1108

cos (3ωt)

]

f ′wn= −4n(2Xo− 1)2n−1

f ′′wn= −8n(2n− 1)(2Xo− 1)2(n−1)

(3.12)

Vale la pena observar que las ecuaciones (3.10 - 3.12) poseen una estructura anida-

da, es decir, los modelos con armonicos de orden superior se definen a partir de las

expresiones de los modelos de orden inferior.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

3.1 Modelo Armonico 27

Ademas la memristancia de orden 3 contiene un polinomio Pxo3kn, el cual

cambia con respecto al valor de k. En la Tabla 3.1 se presentan los polinomios

correspondientes a los valores de k en el rango de 1 a 7.

k Pxo3kn(Xo)

1 (6Xo2 − 6Xo+ 1)

2 (28Xo4 − 56Xo3 + 42Xo2 − 14Xo+ 1)

3 (352Xo6 − 1056Xo5 + 1320Xo4 − 880Xo3 + 330Xo2 − 66Xo+ 3)

4 (480Xo8 − 1920Xo7 + 3360Xo6 − 3360Xo5 + 2100Xo4 − 840Xo3 + 210Xo2 − 30Xo+ 1)

5(9728Xo10 − 48640Xo9 + 109440Xo8 − 145920Xo7 + 127680Xo6 − 76608Xo5 + 31920Xo4

−9120Xo3 + 1710Xo2 − 190Xo+ 5)

6

23552Xo12 − 141312Xo11 + 388608Xo10 − 647680Xo9

+728640Xo8 − 582912Xo7 + 340032Xo6 − 145728Xo5 + 45540Xo4

−10120Xo3 + 1518Xo2 − 138Xo+ 3

7

221184Xo14 − 1548288Xo13 + 5031936Xo12 − 10063872Xo11 + 13837824Xo10

−13837824Xo9 + 10378368Xo8 − 5930496Xo7 + 2594592Xo6 − 864864Xo5

+216216Xo4 − 39312Xo3 + 4914Xo2 − 378Xo+ 7

Tabla 3.1: Expresiones para los polinomios generados en Orden3

Los valores de los parametros utilizados en las expresiones anteriores corresponden

a los valores tıpicos del memristor HP y del modelo homotopico, mostrados en las

Tablas 3.2 y 3.3 respectivamente.

Parametro Valor

µ 10−10 cm2 s−1 V−1

Ron 100 Ω

Roff 40 14 kΩ

∆ 10 nm

α 160

Tabla 3.2: Parametros del memristor de HP

Parametro Valor

Ap 40 µ A

Xo 0.1

Tabla 3.3: Parametros tıpicos del modelo homotopico

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

28 3. Desarrollo de Modelos

Caracterizacion del Modelo

En esta seccion se presentan algunos graficos correspondientes a los modelos men-

cionados anteriormente, los cuales fueron evaluados en k = 5; en la Figura 3.2a se

muestra una comparacion de las curvas corriente-voltaje para los ordenes de memris-

tancia 1, 2 y 3, y como es de notarse el modelo de Orden 2 cruza momentaneamente a

los cuadrantes 2 y 4 lo que implica un comportamiento parcialmente activo. Ademas

puede observarse en la Figura 3.2b cuando la memristancia se hace activa.

(a) (b)

Figura 3.2: Curvas de IV y MI

Sin embargo los modelos de Orden 1 y 3 son completamente pasivos.

3.2. Modelo carga-flujo

En esta seccion, se muestra la generacion del modelo de memristor que mas se ape-

ga a la definicion original de Chua [1], i.e. el modelo que se representa a traves de una

funcion continua constitutiva de rama que relaciona las dos variables fundamentales:

carga electrica y flujo magnetico.

El punto de partida es el par de expresiones lineales a tramos (en ingles piecewise

linear, PWL) provenientes de [22]. Dichas expresiones se muestran a continuacion:

qη+ = 0.00422344 + 0.00503125φ+ 0.00496875 |φ− 0.85| (3.13)

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

3.2 Modelo carga-flujo 29

qη− = 0.00422344 + 0.00503125φ+ 0.00496875 |φ− 0.85| (3.14)

donde η ilustra la direccion del desplazamiento de la carga electrica. Las expresio-

nes 3.13 y 3.14 se grafican en el plano q-φ y se muestran en las Figuras 3.3a y 3.3b,

respectivamente.

(a) η positivo (b) η negativo

Figura 3.3: Curvas piecewise linear

Como las ecuaciones 3.13 y 3.14 son validas para direcciones complementarias del

desplazamiento, a traves de la suma aritmetica de las mismas se obtiene una sola

expresion de memristancia:

q(φ) = 0.01006250φ− 0.00496875 |φ+ 0.85|+ 0.00496875 |φ− 0.85| (3.15)

En la Figura 3.4a se muestra graficamente la ecuacion anterior.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

30 3. Desarrollo de Modelos

(a) Piecewise linear (b) Continuo

Figura 3.4: Curvas carga-flujo

Como nuestro objetivo es obtener una funcion continua carga-flujo, recurrimos a

utilizar un procedimiento de ajuste de curvas para generar una expresion polinomica

de la memristancia, haciendo uso del programa matematico MAPLE. Con la finalidad

de mantener un balance entre complejidad y semejanza entre la funcion PWL y la

aproximacion continua, se lleva a cabo el ajuste a un polinomio de orden 3, lo que

resulta en el modelo siguiente:

q(φ) = 0.002φ3 − 6.76461 · 10−15 φ2 − 3 · 10−9 φ (3.16)

La Figura 3.4b muestra la caracterıstica del modelo en el plano q-φ.

Modelos desarrollados

Las expresiones 3.10–3.12 y 3.16 representan en resumen los objetivos asociados

a la generacion de modelos analıticos del memristor del presente trabajo.

Por un lado, las expresiones 3.10–3.12 representan modelos del memristor en forma

de funciones de memristancia dependientes del tiempo.

Por otro lado, la expresion 3.16 representa el modelo del memristor en el plano

carga-flujo.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Capıtulo 4

Fabricacion de Dispositivo

Memristivo

Este capıtulo trata del proceso de fabricacion de un dispositivo memristivo que

fue llevado a cabo en el Departamento de Ciencias e Ingenierıa de Materiales, en los

Laboratorio de la Universidade Federal do ABC (UFABC), en Sao Paulo, Brasil. Para

ello, en la primera seccion se presenta un breve resumen del fenomeno de transicion

resistiva. La segunda seccion presenta el proceso de fabricacion y de medicion para

obtener las caracterısticas electricas del dispositivo. Finalmente, la extraccion del

modelo es materia de estudio en la tercera seccion.

4.1. Dispositivos de Transicion Resistiva

Existen dos tipos de transicion resistiva: la transicion resistiva unipolar y bipolar

[28] las cuales se comentan mas adelante. Usualmente, el fenomeno fısico denominado

Transicion Resistiva (en ingles Resistive Switching y abreviado como RS), se basa

en el hecho de que la resistencia de un dielectrico sufre cambios en respuesta a un

campo electrico externo fuerte. Este cambio se puede asociar a la transicion de nivel

logico. El proceso de RS es reversible y puede reproducirse varias veces. Tıpicamente,

el cambio en la resistencia es no volatil. La conmutacion resistiva ocurre gracias al

cambio de resistividad del material debido a la formacion de un filamento entre los

contactos del dispositivo. El nivel logico alto se puede asignar a una baja resistividad,

mientras que una alta resistividad caracterizara el nivel logico bajo.

Por otro lado el fenomeno de ruptura dielectrica, que da como resultado una

[32]

4.1 Dispositivos de Transicion Resistiva 33

reduccion permanente de la resistencia (y en muchos casos, dano irreversible en la

muestra) por lo que ya no es posible volver al estado original.

Los dispositivos tıpicos para aplicaciones RS presentan una configuracion de dos

terminales tipo capacitor, como se muestra en la Figura 4.1, donde una pelıcula

delgada de material aislante se intercala entre los electrodos superior e inferior. Esta

configuracion de tipo condensador es conveniente, ya que un gran campo electrico

(tıpicamente 10 % de la fuerza dielectrica [29], que en muchos solidos es del orden de

10-100 MVm

) se puede aplicar facilmente. Dicha configuracion es relativamente facil de

fabricar, lo cual representa una gran ventaja de cara a la fabricacion de dispositivos

RRAM practicos. Sin embargo, debido a la simpleza del dispositivo resulta difıcil

llevar a cabo el analisis de los cambios internos durante RS.

Figura 4.1: Estructura tipo capacitor

En contraposicion existe la estructura de tipo planar mostrada en la Figura 4.2,

formada por dos electrodos localizados sobre el aislante, en sus extremos. Esta estruc-

tura es util si se desean observar cambios estructurales en el material como respuesta

a un voltaje de polarizacion aplicado durante el proceso RS [30], [31].

Figura 4.2: Estructura planar

La Figura 4.3 muestra las curvas I − V que exhibe el funcionamiento de RS. La

resistencia del estado prıstino de una muestra es tıpicamente mas alta que los otros

estados. Sin embargo, bajo una gran tension externa, Vext, el dispositivo entra en un

estado de baja resistencia (LRS por sus siglas en ingles Low Resistance State). Este

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

34 4. Fabricacion de Dispositivo Memristivo

proceso se llama “electro-formado”o “formacion”, indicado por la curva verde en la

Figura 4.3, y el voltaje requerido se denomina “voltaje de formacion”, Vforming.

Despues del proceso de formacion, la resistencia del dispositivo puede conmutar

entre el estado de baja resistencia LRS y un estado de alta resistencia (HRS por sus

siglas en ingles High Resistance State) controlado por Vext. En el LRS, a medida que

Vext aumenta, se produce un aumento repentino de la resistencia, como lo muestra la

curva roja en la Figura 2.5. Esto se conoce como el proceso de reset”, y el voltaje

correspondiente se denomina “voltaje reset”, Vreset. Cuando incrementamos el Vext

desde cero una vez mas, el dispositivo puede volver a cambiar al LRS cuando Vext

alcanza el Vset, como se muestra en la curva azul de la Figura 2.5. Este proceso se

llama proceso de “set”.

El LRS resultante despues de la operacion set tambien es estable, y los procesos

de conmutacion de set y reset se pueden repetir muchas veces. Aunque los valores de

resistencia de LRS y HRS siempre van acompanados de fluctuaciones considerables,

la magnitud del cambio en la resistencia se mantiene dentro de lımites bien definidos.

Por lo tanto los estados LRS y HRS se pueden usar como estados binarios para

aplicaciones de memoria no volatil.

Figura 4.3: Curvas I-V

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.1 Dispositivos de Transicion Resistiva 35

4.1.1. Fenomenos de Conmutacion Resistiva

Un esquema de clasificacion para RS es util para obtener una comprension profun-

da de la fısica de los fenomenos RS. Se clasificaron los RS en dos grupos: unipolares

y bipolares. Las conmutaciones unipolares y bipolares muestran al menos dos estados

estables sin una polarizacion aplicada, y por lo tanto, son adecuadas para aplica-

ciones de memoria no volatil. A continuacion se presenta un breve resumen de las

particularidades de cada uno.

Comportamiento Unipolar

La Figura 4.4 muestra una relacion I−V tıpica para la conmutacion unipolar. Este

es el proceso de reset durante el cual normalmente no se requiere el lımite de corriente,

porque el alto valor de resistencia del HRS autolimitara el flujo de corriente. El HRS

tambien es metaestable y permanece durante un largo perıodo de tiempo sin voltaje

aplicado. Cuando se aplica un Vext positivo a la muestra en el HRS, se produce una

reduccion abrupta de la resistencia en Vset, y la muestra entra de nuevo en el LRS.

Figura 4.4: Curva de comportamiento unipolar

Este es el proceso set durante el cual los lımites de corriente deben ser establecidos.

Tıpicamente, el set es mas grande que el Vreset. Se debe tener en cuenta que, para la

conmutacion unipolar, la curva I-V es simetrica respecto a Vext. Es decir, cuando

se aplica un voltaje negativo, tambien se producen procesos de restablecimiento y

establecimiento similares. Por lo tanto, los pulsos de voltaje externos con una sola

polaridad son suficientes en las operaciones reales del dispositivo. Esta es la razon por

la cual dicha RS se llama “unipolar”.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

36 4. Fabricacion de Dispositivo Memristivo

De acuerdo a la literatura [31], [32], el comportamiento unipolar es provocado por

el reordenamiento atomico dentro del oxido en presencia de un campo electrico ex-

terno de alta magnitud a traves del proceso de electro-formado. Dicho reordenamiento

favorece la formacion de canales filamentarios (a los que nos referiremos como CF) que

establecen caminos conductores, provocando un aumento en la conductividad total

del material, esto corresponde al proceso de Set.

Posteriormente, el proceso de Reset es provocado por la ruptura de dichos fila-

mentos; fenomeno que ocurre principalmente debido al calentamiento Joule originado

por la corriente localizada a traves del filamento conductivo [33], [34].

Figura 4.5: Esquema de un mecanismo de conmutacion unipolar. Tomada de [25]

Comportamiento Bipolar

Con la conmutacion bipolar, se requieren ambas polaridades. Una forma de curva

I-V tıpica para la conmutacion bipolar se muestra en la Figura 4.6a. Se requiere

un voltaje negativo para el proceso de reset, que lleva al dispositivo del LRS a HRS.

Entonces, se requiere un voltaje positivo para el proceso ”set”, que lleva el dispositivo

del HRS a LRS. Debido a que se requieren ambas polaridades de Vext, este tipo de

RS se denomina conmutacion bipolar.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.2 Fabricacion del Dispositivo 37

(a) (b)

Figura 4.6: Curvas I − V tıpica de un dispositivo bipolar

Se debe tener en cuenta que hay dos tipos de posible conmutacion bipolar. La

Figura 4.6a muestra una curva I-V en la cual el proceso de reset (set) ocurre con un

voltaje negativo (positivo). Tal esquema se denomina conmutacion bipolar “figura de

ocho”, ya que la curva I-V correspondiente sigue el patron de escribir el numero 8.

Algunos sistemas de conmutacion bipolar emplean un voltaje positivo para el proceso

de reset y un sesgo negativo para el proceso set, como se muestra esquematicamente

en la Figura 4.6b. La curva I-V correspondiente se denomina curva de conmutacion

bipolar de “figura de ocho”.

4.2. Fabricacion del Dispositivo

Los dispositivos memristivos manufacturados durante la estancia en la UFABC son

en realidad memorias resistivas, las cuales estan fabricadas en forma de sandwich. So-

bre un substrato de vidrio se colocan contactos de aluminio a traves de evaporacion,

posteriormente se depositan pelıculas delgadas de grafeno con proceso llamado recu-

brimiento por inmersion y finalmente so colocan contactos de ITO (oxido de estano

e indio) como se muestra en la Figura 4.7.

El deposito de grafeno se realizo de la siguiente manera: las pelıculas delgadas

se obtienen mediante la tecnica de recubrimiento por inmersion. El aparato de

recubrimiento por inmersion consiste basicamente en una abrazadera que sujeta el

substrato y se sumerge en una solucion de grafeno a base de agua.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

38 4. Fabricacion de Dispositivo Memristivo

Figura 4.7: Dispositivo memristivo

El proceso de recubrimiento por inmersion puede separarse en cinco etapas (Figura

4.8):

En la primera etapa se encuentra la inmersion, donde el sustrato se sumerge

en la solucion que contiene la solucion de grafeno a una velocidad constante

(preferiblemente sin oscilaciones) como se muestra en la Figura 4.8a.

En la segunda etapa del proceso el sustrato permanece dentro de la solucion

durante 30 segundos.

Tercera etapa llamada deposito: una capa delgada se deposita sobre el substrato

mientras se levanta. El retiro del substrato se lleva a cabo a una velocidad

constante para evitar cualquier fluctuacion.

Cuarta etapa drenaje: el exceso de lıquido se drenara de la superficie como se

muestra en la Figura 4.8c.

Finalmente en la quinta etapa se evapora el solvente del lıquido con la ayuda

de una lampara halogena de 150 W Figura 4.8d.

(a) (b) (c) (d)

Figura 4.8: Proceso de recubrimiento por inmersion

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.2 Fabricacion del Dispositivo 39

Posteriormente este proceso se repetira tres veces hasta obtener una capa fina

de grafeno. Se estimo que el numero de inmersiones optimo es de tres (∼ 30 nm

cada capa, medido por microscopıa electronica de barrido). Despues del deposito

de pelıculas delgadas, se colocaron los contactos de aluminio e ITO por medio de

evaporacion (∼ 430nm), respectivamente.

4.2.1. Mediciones Electricas

Los dispositivos medidos presentan caracterısticas de transicion resistiva unipolar,

por lo que su caracterizacion esta dividida en dos pasos generales:

Busqueda de Caracterısticas

Los parametros principales son el Vset, Vreset y los valores de resistencia de los

estados resistivos de baja resistencia (LRS) y alta resistencia (HRL).

Mediciones de Manera Cıclica

Ya que para este punto se conoce el valor de ambos voltajes de transicion (Vset,

Vreset), se realizan barridos de forma consecutiva cuyo valor asegure el cambio

de estado resistivo de manera cıclica y con ello obtener el lazo de histeresis en

el plano i–v, particularidad del memristor.

Los barridos de voltaje fueron realizados utilizando una fuente I − V modelo HP

4140b controlada por Labview. Cabe aclarar que al mismo tiempo que se aplica el

voltaje, la fuente es capaz de tomar automaticamente las mediciones de corriente de

los dispositivos.

1. Busqueda de Caracterısticas

De entrada se sabe que el dispositivo bajo caracterizacion es del tipo unipolar.

Para averiguar algunas de las caracterısticas se realizaran barridos de voltaje que

permitan obtener los valores de conmutacion Vset, Vreset y las resistencias de estado

LRS y HRS. Para hallar estas caracterısticas, las mediciones se realizaron siguiendo

el esquema de medicion descrito a continuacion:

Voltaje de Pendiente Positiva Se realiza un barrido de voltaje como se muestra

en la Figura 4.9a. Con este barrido se espera encontrar Vset y Vreset con signo

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

40 4. Fabricacion de Dispositivo Memristivo

positivo. Cuando V (t) = Vreset la corriente a traves del dispositivo debe dismi-

nuir abruptamente, como en la Figura 4.9b, senal de que se ha realizado una

transicion al estado de alta resistencia.

(a) Voltaje aplicado (b) cuerva i− vFigura 4.9: Busqueda de Vset y Vreset

Voltaje de Pendiente Negativa Se invierte el barrido de voltaje, como lo mues-

tra la Figura 4.10a. Con esto se desea investigar los voltajes Vset y Vreset que

tiene signo negativo. El dispositivo debe mostrar una transicion al estado de

alta resistencia cuando el voltaje externo aplicado sea V (t) = Vreset. Esto se

ve reflejado en el decremento de la corriente a traves del dispositivo, como lo

muestra la Figura 4.10b.

(a) Voltaje aplicado (b) cuerva i− vFigura 4.10: Busqueda de Vset y Vreset

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.2 Fabricacion del Dispositivo 41

2. Mediciones de Manera Cıclica

Una vez que se tiene una estimacion de los parametros caracterısticos del dispositi-

vo, se aplican barridos de voltaje de una extension que asegure transiciones resistivas,

como se muestra en el esquema de la Figura 4.11a.

(a) Voltaje aplicado (b) Curva i− v

Figura 4.11: Rango de busqueda

Se emplean dos barridos consecutivos como se muestra en la Figura 4.11a. Lo que

en el plano v(t)–t es el periodo de una senal triangular, en el plano i–v provoca las

transiciones resistivas que forman un ciclo completo del lazo de histeresis estrangulado

en el origen, como se muestra en la Figura 4.11b.

4.2.2. Resultados de las Mediciones Electricas

Los resultados mostrados en esta seccion se obtuvieron despues de llevar a

cabo los pasos mencionados en la seccion de mediciones electricas al dispositivo de

Al/Graf/ITO.

Como se indico en la seccion anterior, las mediciones fueron obtenidas utilizando

una fuente HP 4140b; esta fuente posee caracterısticas que son muy adecuadas para

la caracterizacion electrica de dispositivos. La configuracion utilizada para esta fuente

fue en “modo fuente de voltaje”, cuyas caracterısticas son:

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

42 4. Fabricacion de Dispositivo Memristivo

Provee una senal de voltaje de manera discreta en las terminales del dispositivo.

Deja fluir una “corriente de sensado”a traves del dispositivo, a la cual se le

puede configurar un lımite; si este lımite es alcanzado durante las mediciones,

el voltaje aplicado tambien esta limitado.

Con los resultados obtenidos de las mediciones de corriente y voltaje se obtiene

el valor de la resistencia del dispositivo.

Resultados de la Busqueda de Caracterısticas

En esta seccion se determinan los parametros caracterısticos del dispositivo de

Al/graf/ITO; de modo que se realizan barridos individuales buscando transiciones

resistivas.

Un barrido de voltaje con pendiente positiva es aplicado en las terminales del

dispositivo (Figura 4.12a). Mientras el barrido de voltaje se lleva a cabo, en el dispo-

sitivo sucede una transicion al estado de baja resistencia (LRS) y al estado de alta

resistencia (HRS) (Figura 4.12b); posteriormente, se aplica un barrido de voltaje con

pendiente negativa (Figuras 4.13a), este barrido de voltaje provoca las transiciones de

estado de baja resistencia (LRS) y estado de alta resistencia (HRS) (Figura 4.13b).

(a) Voltaje aplicado (b) Transicion resistiva

Figura 4.12: Busqueda de parametros caracterısticos

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.2 Fabricacion del Dispositivo 43

(a) Voltaje aplicado (b) Transicion resistiva

Figura 4.13: Busqueda de parametros caracterısticos

El proceso anterior es repetido 20 veces, con lo cual se obtienen multiples curvas

experimentales; de estas curvas es posible extraer el valor promedio de los voltajes

Vset y Vreset; tambien es posible encontrar el valor de Ron y Roff , el primero tomado

como el promedio de los valores resistivos del estado LRS, el segundo como el valor

de resistencia mas alto en el estado HRS.

Como resultado de la caracterizacion, se obtienen los valores de los parametros

caracterısticos del dispositivo los cuales son mostrado en la Tabla 4.1.

Parametro Valor

Vset 0.55 V

Vreset 0.1 V

Ron 995 Ω

Roff 2.75E+11Ω

Tabla 4.1: Parametros caracterısticos del dispositivo Al/Graf/ITO

Resultados de las Mediciones Cıclicas

Despues de haber extraıdo los valores de Vset y Vreset se planteo un rango

de voltaje en el cual se puedan tener mediciones continuas en forma de ciclos; es-

te rango fue tomado de -0.6V a 0.6V, donde los voltajes de transicion estan contenidos.

La forma del voltaje aplicado experimentalmente se muestra en la Figura 4.14.

Especıficamente, los barridos de voltaje toman valores de -0.6V a 0.6V (y viceversa)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

44 4. Fabricacion de Dispositivo Memristivo

Figura 4.14: Rampa de voltaje experimental

en incrementos de 100 mV cada 0.1 segundo, para la muestra de Al/Graf/ITO.

Las graficas de las Figuras 4.15a y 4.15b muestran la resistencia y la corriente en

funcion del tiempo.

(a) Curva R− t (b) Curva I − t

Figura 4.15: Resistencia y corriente en funcion del tiempo

Se puede identificar que durante la primera seccion del grafico de la Figura 4.15a

la resistencia se mantiene constante lo que esta relacionado con el comportamiento

lineal de la corriente como se percibe hasta el tiempo 0.8 en el grafico de la Figura

4.15b, la siguiente no linealidad muestra el cambio al estado de baja resistencia LRS

y posteriormente debido a que la corriente se mantiene y el voltaje sigue aumentando,

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 45

hace la transicion al estado de alta resistencia HRS.

Con los resultados obtenidos de las mediciones experimentales de resistencia, co-

rriente y voltaje contra tiempo se pueden obtener graficas de forma parametrica,

estas nos permiten observar el comportamiento de la resistencia contra las variables

electricas relacionada con el dispositivo, como lo son el voltaje y la corriente. Se es-

tablecen tres tipos de graficos: resistencia contra voltaje, resistencia contra corriente

y finalmente corriente contra voltaje.

Las Figuras 4.16a, 4.16b y 4.17 muestran las graficas parametricas mencionadas

anteriormente, el grafico con mayor relevancia es la Figura 4.17 ya que muestra las

caracterısticas en el plano corriente-voltaje.

(a) Curva R− V (b) Curva R− I

Figura 4.16: Mapeos de resistencia en funcion de voltaje y corriente

Es interesante notar que el dispositivo de Al/Graf/ITO muestra dentro de sus

caracterısticas en el plano I-V el lazo de histeresis, que como ya se menciono anterior-

mente es una de las principales caracterısticas que presenta y define a un memristor,

por lo que se concluye que este dispositivo que ha sido caracterizado puede ser clasi-

ficado como un memristor.

4.3. Extraccion del Modelo

Hoy en dıa el modelado matematico tiene una gran importancia en todas las

ramas de la ciencia; la ingenierıa, y la electronica no son la excepcion. El objetivo

principal del modelado en el campo de la electronica es predecir con precision el

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

46 4. Fabricacion de Dispositivo Memristivo

Figura 4.17: Lazo de histeresis I − V

comportamiento de los circuitos electronicos, lo que permite evaluar un circuito sin ne-

cesidad de su implementacion; esto es esencial durante la fase de diseno de un circuito.

La funcion principal de cualquier modelo de dispositivo es codificar el conoci-

miento de un dispositivo y representarlo de una forma util. Ademas del nivel de

abstraccion de la representacion matematica, sera la fuente y el alcance de este

conocimiento lo que determinara el uso potencial de un modelo. Esta idea permite

clasificar la desconcertante variedad de representaciones de modelos que son de

uso practico en el campo de la electronica en dos grupos principales: los modelos

comportamentales y los modelos estructurales [9].

Los modelos comportamentales se basan en la obtencion de valores que toman

las variables dependientes de un sistema en funcion de la variable independiente. En

general son de naturaleza discreta y pueden dividirse en dos tipos:

Modelos de interpolacion: Se basan en el almacenamiento de datos discretos

de las variables independientes y dependientes, para despues aplicar un esque-

ma de interpolacion que exprese el comportamiento entre cada par de puntos

obtenidos.

Modelos de ajuste de curvas: Estos modelos son expresados usualmente de forma

analıtica y el valor de sus parametros suele ser determinado en funcion de un

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 47

criterio de ajuste que minimiza la diferencia entre el comportamiento observado

y el comportamiento previsto.

En otras palabras, los modelos comportamentales se basan principalmente en in-

formacion extraıda de la “observacion”del fenomeno.

Por otra parte, los modelos estructurales incluyen algun tipo de informacion pre-

via, que en el caso de la electronica, proviene del dominio de la fısica de estado solido,

util para considerar la estructura interna de los dispositivos. Los modelos estructurales

tambien pueden ser divididos en dos tipos:

Modelos fısicos: Son expresados principalmente por un conjunto de ecuaciones

diferenciales parciales que modelan los procesos fısicos basicos en los dispositivos

de estado solido. La forma de estas ecuaciones puede ser utilizada para describir

varios dispositivos y se vuelve especıfico en el momento en el que se agregan

los parametros de algun dispositivo en particular. Este tipo de modelos proveen

conocimiento sobre los procesos internos en un dispositivo.

Modelos matematicos analıticos: Estos modelos estan constituidos por un con-

junto de relaciones funcionales que describen de forma analıtica el comporta-

miento de un dispositivo utilizando un numero limitado de parametros estruc-

turales relacionados con el dispositivo.

Dicho lo anterior en esta seccion se presenta la extraccion de un modelo mem-

ristivo comportamental basado en el ajuste de curvas experimentales de resistencia

en funcion del tiempo obtenidos del dispositivo de Al/Graf/ITO, debido a la

factibilidad que este tiene.

La ecuacion utilizada para el ajuste de curva es una funcion polinomica de n-esimo

grado en funcion del tiempo.

Como criterio de ajuste se utilizo la reduccion del error cuadratico medio norma-

lizado en el rango de la variable independiente experimental de la resistencia, lo que

permite elegir un polinomio cuyo ajuste presente una “buena” similitud con los datos

experimentales, al mismo tiempo que se evitan efectos no-lineales de otra ındole.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

48 4. Fabricacion de Dispositivo Memristivo

4.3.1. Procedimiento de Aproximacion

A causa de la no linealidad y los cambios abruptos de la curva de resistencia en

funcion del tiempo es difıcil hallar un polinomio que se ajuste bien a la curva en su

totalidad.

En vista de este inconveniente, la curva de resistencia extraıda del dispositivo de

manera experimental, sera dividida en 10 zonas de analisis como se muestra en la

Figura 4.18. De este modo, la curva de resistencia del dispositivo de Al/Graf/ITO

sera expresada matematicamente por la funcion definida a trozos:

R(t) =

R1(t) Zona 1

R2(t) Zona 2

R3(t) Zona 3...

...

R10(t) Zona 10

(4.1)

Donde cada funcion Ri(ti) tendra la forma polinomica de la ecuacion 4.2.

Ri(ti) = A0it0 + A1it

1 + A2it2 + · · ·+ Ani

tn (4.2)

De esta manera se buscara que cada funcion Ri(t) se ajuste individualmente de

la mejor manera posible a los datos experimentales de las zonas de interes. Poste-

riormente se podra notar que el grado de similitud entre la funcion de ajuste 4.2 y

los datos experimentales esta directamente vinculado con el numero de terminos que

contenga el polinomio, y en consecuencia ligado al grado de la funcion.

Como proposito primario, se pretende hallar una funcion analıtica que describa

el comportamiento de la resistencia en funcion del tiempo. Previamente, a traves de

esta, se pretende hallar una expresion para la corriente la cual es facil de obtener por

la Ley Ohm. Con esto es facil obtener el lazo de histeresis memristivo.

Aproximacion de la Curva de Resistencia

Sobre la base de las consideraciones anteriores se pretende encontrar el comporta-

miento de la resistencia en cada una de las 10 zonas descritas anteriormente, en primer

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 49

Figura 4.18: Division de R(t) en 10 zonas

lugar deben calcularse los coeficientes (A0i , A1i , ..., Ani) de la funcion Ri(t) correspon-

diente; es por ello que es necesario un sistema de ecuaciones donde las incognitas sean

dichos coeficientes.

Para plantear el sistema de ecuaciones mencionado, se toman puntos en el tiempo,

t0 ,t1 ,t2 ,...,tn, distribuidos equidistantemente sobre el intervalo que abarque la zona

de analisis como lo muestra la Figura 4.19. Cada punto en el tiempo ti corresponde un

valor de resistencia experimental obtenidos con las mediciones de la seccion anterior.

De manera que, por cada ti se puede formular una ecuacion, igualando el valor de

resistencia experimental, Re(ti), a la ecuacion de ajuste en la zona de interes evaluada

en ese mismo instante de tiempo, Ri(ti).

Re(t) = A0it0i + A1it

1i + A2it

2i + · · ·+ Ani

tni (4.3)

Para hallar los coeficientes del polinomio de la ecuacion 4.3, se formulan tantas

ecuaciones como incognitas se tengan:

Eq0: R1(t0) = A0it00 +A1it

10 +A2it

20 + ...+Ani

tn0Eq1: R2(t1) = A0it

01 +A1it

11 +A2it

21 + ...+Ani

tn1Eq2: R3(t2) = A0it

02 +A1it

12 +A2it

22 + ...+Anit

n2

......

Eqn: Rn(tn) = A0it0n +A1it

1n +A2it

2n + ...+Ani

tnn

(4.4)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

50 4. Fabricacion de Dispositivo Memristivo

Figura 4.19: Division de R(t) en 10 zonas

Donde A0, A1, ..., An son las incognitas. Ademas es posible reescribir el sistema

separando con coeficientes con notacion matricial:

Re(t0)

Re(t1)

Re(t2)...

Re(tn)

=

t00 t10 t20 · · · tn0t01 t11 t21 · · · tn1t02 t12 t22 · · · tn2...

......

......

t0n t1n t2n · · · tnn

A0i

A1i

A2i

...

Ani

(4.5)

Una vez obtenidos los valores numericos de todas las incognitas, ya se cuenta con

una ecuacion que se aproxima a la resistencia de la zona deseada y que pasa por los

valores Re(t0), Re(t1), Re(t2), y Re(tn).

Ademas debe notarse que el porcentaje de aproximacion a los valores experimen-

tales esta relacionado con el valor de orden n del polinomio, es decir si n = 1 se

necesitaran de dos ecuaciones para las dos incognitas (A0 y A1), en consecuencia es

conveniente investigar que valor de n presenta el maximo error permitido ası como el

porcentaje de mayor similitud respecto a los valores experimentales.

Aproximacion de la Curva de Corriente

Debido a que la resistencia y el voltaje estan relacionados en cada instante de

tiempo, resulta sencillo obtener la curva de corriente a traves de la Ley de Ohm:

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 51

I(t) =V (t)

R(t)(4.6)

Donde V (t) es el voltaje aplicado que es expresado como:

V (t) =

0.5t - 0.6 0 ≤ t ≤ T

2

-0.5t + 1.8 T2≤ t ≤ T

y R(t) es la resistencia del dispositivo en funcion del tiempo.

Sin embargo, como se menciona anteriormente, la corriente queda dividida en

zonas debido a la representacion de la curva de resistencia; es decir en una ecuacion

no lineal a tramos.

Criterio de eleccion de las funciones

La eleccion de las curvas de ajuste es determinada con base a una figura de merito

que muestra el porcentaje de similitud (S), entre los datos experimentales y la funcion

de aproximacion. Esta figura de merito esta dada como:

S = 100 (1−NRMSE) (4.7)

donde NRMSE es el error cuadratico medio normalizado (por sus siglas en ingles,

Normalized Root Mean Square Error), y RMSE por sus siglas en ingles, Root Mean

Square Error. Esta normalizacion se realizo con respecto al rango que abarcan los

datos de la variable dependiente, en este caso la resistencia dependiente del tiempo.

Por lo tanto el NRMSE esta dado por:

NRMSE =RMSE

ymax − ymin(4.8)

de igual manera, el RMSE puede ser calculado por:

RMSE =

√∑ni=1(yi − yi)2

n(4.9)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

52 4. Fabricacion de Dispositivo Memristivo

donde yi es el vector de datos experimentales, y es un vector generado a partir

de los valores del polinomio de ajuste Ri y n es el numero total de muestras. La

eleccion de la funcion de aproximacion adecuada se realizo, en primera instancia, con

base al comportamiento cualitativo de la curva, y posteriormente con base al valor

de S. En el Apendice A se muestran las curvas de las nueve primeras aproximaciones

(n = 2− 10) y los valores de RMSE, NRSME y S correspondientes.

4.3.2. Resultados de las Aproximaciones

Posteriormente se llevo a cabo la metodologıa mencionada en la seccion anterior.

Se hallaron las ecuaciones de aproximacion de las curvas de resistencia y corriente

correspondientes a las zonas de analisis.

En esta seccion se presentaran los resultados obtenidos, primeramente se presen-

tara el analisis detallado de las primeras tres zonas y las restantes seran presentadas de

manera resumida, ademas se presenta el modelo unificado del dispositivo memristivo.

Zona 1

El intervalo de tiempo que comprnde la zona 1 es de t = 0 a t = 0.9. La ecuacion

4.10 corresponde a la funcion de ajuste de la resistencia en funcion del tiempo de

la zona 1, en la Tabla 4.2 se colocan los valores numericos de los coeficientes de la

funcion para su facil observacion.

R1 = (14.87234575 t10 − 195.3488560 t9 + 2846.043527 t8 − 7722.856825 t7

+9317.769693 t6 − 6077.573620 t5 + 2267.606161 t4 − 476.7356694 t3

+51.49831871 t2 − 2.171343260 t+ 0.99458) · kΩ

(4.10)

Los resultados obtenidos del calculo del error cuadratico medio, el error cuadratico

medio normalizado y el porcentaje de similitud de la zona de interes se muestran en

la Tabla 4.3

Las grafica 4.20a muestra el ajuste de la funcion de resistencia donde la curva color

rojo representa la curva del modelo de aproximacion, en la Figura 4.20b muestra la

curva de ajuste de la corriente.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 53

Coeficiente Valores en Ω

A0 994.58

A1 -2171.343260

A2 51498.31871

A3 -4.767356694·105

A4 2.267606161·106

A5 -6.077573620·106

A6 9.317769693·106

A7 -7.722856825·106

A8 2.846043527·106

A9 -1.953488560·105

A10 14872.34575

Tabla 4.2: Coeficientes de la funcion de ajuste

Zona 1

Funcion RMSE NRSME S( %)

Resistencia 86.8504 0.0222 97.7797

Corriente 1.1970·10−10 2.0902·10−7 99.9999

Tabla 4.3: Porcentaje de similitud entre los datos experimentales y la funcion de aproximacion.

(a) (b)

Figura 4.20: Curvas de aproximacion de resistencia y corriente

Zona 2

La zona 2 esta comprendido por el intervalo de tiempo de 0.9 a 1 segundo. La

ecuacion 4.11 pertenece a la funcion de aproximacion de la Zona 2, y en la Tabla 4.4

estan los valores de los coeficientes de la funcion.

R2 = (2.544669480 t3 + 5.451346009 t2

+482.7463393 t− 440.7423548) ·GΩ(4.11)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

54 4. Fabricacion de Dispositivo Memristivo

Coeficiente Valores

A0 -4.407423548·1011

A1 4.827463393·1011

A2 5.451346009·109

A3 2.544669480·109

Tabla 4.4: Coeficientes de la funcion de ajuste

Los valores de los calculos de los errores RMSE y NRMSE se encuentran en la

Tabla 4.5 ası como el porcentaje de similitud.

Zona 2

Funcion RMSE NRSME S( %)

Resistencia 3.436592977·106 0.6873186628·10−4 99.9931

Corriente – – –

Tabla 4.5: Porcentaje de similitud entre los datos experimentales y la funcion de aproximacion.

Las grafica 4.21a muestra la curva de la funcion de ajuste comparado con los

valores de resistencia experimental, y en la Figura 4.21b muestra la curva de ajuste

de la corriente.

(a) (b)

Figura 4.21: Curvas de aproximacion de resistencia y corriente

Zona 3

El intervalo de tiempo que abarca la toza 3 es de t = 1 a t = 1.2 En la Tabla 4.6

se encuentran los valores de la ecuacion 4.12 para mejor visualizacion.

R3 = (200− 250 t) ·GΩ (4.12)

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 55

Coeficiente Valores

A0 3·1011

A1 -2.5·1011

A2 0

Tabla 4.6: Coeficientes de la funcion de ajuste

En la Tabla 4.7 se encuentran los valores de los errores RMSE, NRMSE y el

porcentaje de similitud de la funcion de aproximacion con respecto a los valores

experimental.

Zona 3

Funcion RMSE NRSME S( %)

Resistencia 80.9543 1.619086162·10−9 99.9999

Corriente – – –

Tabla 4.7: Porcentaje de similitud entre los datos experimentales y la funcion de aproximacion.

Las graficas de la Figura 4.22 muestran las curvas de resistencia y corriente en

comparacion a los resultados experimentales.

(a) (b)

Figura 4.22: Curvas de aproximacion de resistencia y corriente

Resultados de la Zona 4 a la Zona 10

En esta seccion se presentan de manera resumida los resultados obtenidos del

analisis realizado de la zona 4 a la zona 10, es importante mencionar que existe

continuidad entre cada una de las 10 zonas que comprenden el modelo; la Tabla 4.8

muestra el intervalo de tiempo correspondiente a cada zona.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

56 4. Fabricacion de Dispositivo Memristivo

Intervalo de tiempo por zona

Zona 4 Zona 5 Zona 6 Zona 7 Zona 8 Zona 9 Zona 10

1.2− 2.3 2.3 - 2.4 2.4 - 3.3 3.3 - 3.4 3.4 - 3.6 3.6 - 4.7 4.7 - 4.8

Tabla 4.8: Intervalos de tiempo del dispositivo

Las ecuaciones que se encuentran en la Tabla 4.9 muestran las ecuaciones de ajuste

correspondiente a cada una de las zonas de la resistencia dependiente del tiempo.

Zona 4 R4 = (−300 + 250 t) ·GΩ

Zona 5 R5 = (6599999977− 274999999 t+ 2 t2) · Ω

Zona 6R6 = (323.0141041− 1053.507806 t+ 1482.630767 t2

−1178.785987 t3 + 580.1412032 t4 − 181.2239972 t5

+35.12666904 t6 − 3.865813115 t7 + 0.1850694228 t8) ·MΩ

Zona 7R7 = (−2792.033935 + 2013.657036 t− 731.0567199 t2

+131.6918676 t3 + 6.317718693 t4 − 5.045564877 t5

+0.4653099288 t6) ·GΩ

Zona 8 R8 = (900− 250 t) ·GΩ

Zona 9 R9 = (−900 + 250 t) ·GΩ

Zona 10

R10 = (1.320001852 · 1013 − (2.750007481 · 1012) · t− (1.787809225 · 106) · t2+(9.510311124 · 105) · t3 + (65134.88241) · t4 − (56567.15831) · t5+(2661.705655) · t6 + (637.6209148) · t7 + (66.22164248) · t8−(26.15799275) · t9 + (1.493646815) · t10) · Ω

Tabla 4.9: Ecuaciones de ajuste correspondientes a las zonas 4-10

Posteriormente se ordenaron los valores numericos de los coeficientes correspon-

dientes a cada una de las funciones de las zonas de interes, los cuales se muestran en

la Tabla 4.10.

Ademas en la Figura 4.23 se muestran los graficos correspondientes a cada zona

de la resistencia dependiente del tiempo, que muestra las curvas de la funcion de la

aproximacion en comparacion con los resultados experimentales.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 57

CoeficientesValores

Zona 4 Zona 5 Zona 6 Zona 7 Zona 8 Zona 9 Zona 10

A0 −3 · 1011 6.5999 · 1012 3.2301 · 108 −2.7920 · 1012 9 · 1011 −9 · 1011 1.3200 · 1013

A1 2.5 · 1011 −2.7499 · 1012 −1.0535 · 109 2.0136 · 1012 −2.5 · 1011 2.5 · 1011 −2.7500 · 1012

A2 0 2 1.4826 · 109 −7.3105 · 1011 0 0 -1.7878·106

A3 0 0 −1.1787 · 109 1.3169 · 1011 0 0 9.5103·105

A4 0 0 5.8014 · 108 6.3177 · 109 0 0 65134.8824

A5 0 0 −1.8122 · 108 −5.0455 · 109 0 0 -56567.1583

A6 0 0 3.5126 · 107 4.6530 · 108 0 0 2661.7056

A7 0 0 −3.8658 · 106 0 0 0 637.6209

A8 0 0 1.8506 · 105 0 0 0 66.2216

A9 0 0 0 0 0 0 −26.1579

A10 0 0 0 0 0 0 1.4936

Tabla 4.10: Coeficientes de las funciones de ajuste de las zonas 4-10

Figura 4.23: Curvas de aproximacion de resistencia de las zonas 4 a la 10

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

58 4. Fabricacion de Dispositivo Memristivo

Finalmente, se llevo a cabo un analisis de error de las funciones de ajuste, las

cuales fueron elegidos por el mayor porcentaje de aproximacion a los resultados ex-

perimentales, dichos valores son mostrados en la Tabla 4.11; importante notar que el

porcentaje de similitud de las zonas 4 y 9 es del 100 %, y se debe a que que error

RMSE esta dividido por la diferencia de las abscisas la cual es muy grande por lo que

da el valor de cero, de igual manera sucede al calcular el RMSE.

Resultados

Resistencia Zona 4 Zona 5 Zona 6 Zona 7 Zona 8 Zona 9 Zona 10

RMSE 0 817.1265 17.6589 707.5024 80.9543 0 4637.5218

NRMSE 0 2.9713·10−9 0.156·10−1 1.4150·10−8 1.6190·10−9 0 1.6863·10−8

S % 100 99.9999 98.4358 99.9999 99.9999 100 99.9999

Tabla 4.11: Porcentaje de similitud de la zona 4 a la 10

Modelo Unificado

Hasta este punto ya se han encontrado las expresiones de la resistencia para cada

zona, por lo que es posible emplear dichas expresiones para modelar la resistencia

durante un periodo completo con el uso de una funcion no lineal definida a tramos.

En seguida se muestra la funcion que define el comportamiento del dispositivo:

R(t) =

R1 = A0 + A1 · t+ A2 · t2 + A3 · t3 + A4 · t4 + A5 · t5+A6 · t6 + A7 · t7 + A8 · t8 + A9 · t9 + A10 · t10

0 ≤ t ≤ 0.9

R2 = A0 + A1 · t+ A2 · t2 + A3 · t3 0.9 ≤ t ≤ 1

R3 = A0 + A1 · t+ A2 · t2 1 ≤ t ≤ 1.2

R4 = A0 + A1 · t+ A2 · t2 1.2 ≤ t ≤ 2.3

R5 = A0 + A1 · t+ A2 · t2 2.3 ≤ t ≤ 2.4

R6 = A0 + A1 · t+ A2 · t2 + A3 · t3 + A4 · t4 + A5 · t5+A6 · t6 + A7 · t7 + A8 · t8

2.4 ≤ t ≤ 3.3

R7 = A0 + A1 · t+ A2 · t2 + A3 · t3 + A4 · t4 + A5 · t5+A6 · t6

3.3 ≤ t ≤ 3.4

R8 = A0 + A1 · t+ A2 · t2 3.4 ≤ t ≤ 3.6

R9 = A0 + A1 · t+ A2 · t2 3.6 ≤ t ≤ 4.7

R10 = A0 + A1 · t+ A2 · t2 + A3 · t3 + A4 · t4 + A5 · t5+A6 · t6 + A7 · t7 + A8 · t8 + A9 · t9 + A10 · t10

4.7 ≤ t ≤ 4.8

La ecuacion anterior describe la resistencia en el tiempo para el dispositivo de

Al/Graf/ITO, donde cada coeficiente es mostrado en la Tabla de la siguiente pagina.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

4.3 Extraccion del Modelo 59

Coefi

cie

nte

sZ

on

as

12

34

56

78

910

A0

994.

58-4

.407

e11

3e11

3·1011

6.5

999·

101

23.2

301·

108

2.7

920·1

012

9·1011

9·101

11.3

2·1013

A1

-217

1.34

4.82

74·1

011

-2.5·1

011

2.5·1

011

2.7

499·

101

21.0

535·

109

2.0

136·1

012

2.5·1

011

2.5·1

011

2.7

5·1012

A2

5149

8.31

5.45

13·1

09

00

21.4

826·

109

7.3

105·1

011

00

-1.7

87·1

06

A3

-4.7

673·1

05

2.54

46·1

09

00

01.1

787·

109

1.3

169·1

011

00

9.5

103·1

05

A4

2.26

76·1

06

00

00

5.8

014·

108

6.3

177·1

09

00

65134.8

8

A5

-6.0

775·1

06

00

00

1.8

122·

108

5.0

455·1

09

00

-56567.1

5

A6

9.31

77·1

06

00

00

3.5

126·

107

4.6

530·1

08

00

2661.7

0

A7

-7.7

228·1

06

00

00

3.8

658·

106

00

0637.6

2

A8

2.84

60·1

06

00

00

1.8

506·

105

00

066.2

2

A9

-1.9

53·1

05

00

00

00

00

26.1

5

A10

1487

2.34

00

00

00

00

1.4

936

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

60 4. Fabricacion de Dispositivo Memristivo

La comparacion entre el modelo y la curva experimental de resistencia y corriente

se muestra en la Figura 4.24 respectivamente.

(a) (b)

Figura 4.24: Curvas de resistencia y corriente del modelo

La Figura 4.24a se muestra la comparacion de las curvas de resistencia de los

resultados experimentales y los resultados obtenidos con el modelo desarrollado, de

igual manera en la Figura 4.24b se muestra la comparacion de las curvas de corriente

obtenidas del modelo y los resultados experimentales.

Figura 4.25: Lazo de histeresis del modelo

El modelo extraıdo si bien es del tipo no lineal a tramos, permite tener una

expresion analıtica para la memristancia en funcion del tiempo y puede ser extendido

a la generacion de las curvas de histeresis corriente-voltaje como se muestra en la

Figura 4.25. Que cumple con las huellas de identidad del memristor.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Capıtulo 5

Aplicaciones en Circuitos Caoticos

En este capıtulo se lleva a cabo el estudio de tres circuitos caoticos en los cuales se

implementaron los modelos desarrollados en este trabajo (modelo armonico, modelo

carga-flujo y el modelo comportamental del dispositivo fabricado) con la finalidad de

comprobar la fiabilidad de los modelos y su uso en la aplicacion en circuitos caoticos.

Se han utilizado tres circuitos como casos de estudio.

La Tabla 5.1 muestra el esquema de generacion de resultados para los tres circuitos

con los diversos modelos desarrollados en el presente trabajo. Las simulaciones fueron

realizadas en H-SPICE para el caso de modelos en codigo VERILOG-A y en MATLAB

para modelos funcionales.

Circuitos Armonico q-φ Comportamental

1 H-Spice y Matlab X H-SPICE

2 X Matlab X

3 X Matlab X

Tabla 5.1: Esquema de resultados

5.1. Circuito 1

El esquema del circuito pasivo propuesto de tercer orden se muestra en la Figura

5.1, que consta de un memcapacitor, dos resistencias, un condensador convencional,

un inductor y una fuente de voltaje sinusoidal.

El memcapacitor es emulado por el arreglo circuital (mencionado en la seccion

2.4) mostrado en la Figura 5.2, que contiene un resistor, un amplificador operacional,

[62]

5.1 Circuito 1 63

MemC1

L

+

R1

R2C

Figura 5.1: Circuito 1

un capacitor y un memristor.

MemCM

R

C

R

Figura 5.2: Emulador de memcapacitor

Posteriormente elemento memcapacitivo es reemplazado del circuito por el emu-

lador tal como se muestra en la Figura 5.3.

R2

M

1 L

+

Rx

Cx

R

C

Figura 5.3: Circuito caotico con el emulador del memcapacitor

Ademas las ecuaciones KCL y KVL de este circuito se dan en la ecuacion 5.1, donde

F y ω son la amplitud y la frecuencia angular de la fuente de voltaje respectivamente.

dvc1dt =

(F ·sin(ωt)−vc1

R1−iL)

C1

dvc2dt =

iL−vc2R2−ic2C2

diLdt = vc1−vc2

L

(5.1)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

64 5. Aplicaciones en Circuitos Caoticos

Sustituimos x = vc1, y = vc2 y z = iL en la ecuacion 5.1 para obtener las ecuaciones

de estado, dadas como:

x =(F ·sin(ωt)−x

R1−z)

C1

y =z− y

R2−ic2C2

z = x−yL

(5.2)

En la Tabla 5.2 se muestran los valores de los elementos que componen el circuito

caotico.

Parametros Valores

R1 80 Ω

R2 5 kΩ

C1 0.1 F

L 0.1 H

Rx 0.5 Ω

Cx 0.1 µF

Tabla 5.2: Valores de los elementos del circuito caotico

5.1.1. Resultados de H-SPICE Usando el Modelo Armonico

Para utilizar los modelos simbolicos de las ecuaciones 3.10, 3.11 y 3.12, las expre-

siones para Mo1k5, Mo2k5 y Mo3k5 se han introducido en un modulo VERILOG-A (los

codigos de los modelos se muestran en el Apendice B), para poder llevar a cabo su

simulacion.

Resultados con el Modelo de Orden 1

Los resultados de la simulacion se presentan en la Figura 5.4. La forma de onda en

dominio del tiempo de la variable de estado x, y, z se muestra en la Figura 5.4a, 5.4b

y 5.4c, y los diagramas de fase de iL vs Vc1 y VMemC vs Vc2 dado en la Figura 5.4d

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

5.1 Circuito 1 65

y 5.4e, el diagrama de fase 3D se muestra en la Figura 5.4f con la condicion inicial

(0.001, 0, 0).

0 500 1000 1500 2000 2500 3000

Time

-0.1

-0.08

-0.06

-0.04

-0.02

0

0.02

0.04

0.06

0.08

0.1

I L

0 500 1000 1500 2000 2500 3000

Time

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V C

1

0 500 1000 1500 2000 2500 3000

Time

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C

(a) (b) (c)

-0.15 -0.1 -0.05 0 0.05 0.1 0.15 0.2

V C1

-0.1

-0.08

-0.06

-0.04

-0.02

0

0.02

0.04

0.06

0.08

0.1

I L

-0.15 -0.1 -0.05 0 0.05 0.1 0.15 0.2

V C1

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C

-0.1

0.2

-0.05

0

I L

V MemC

0.05

0

0.2

0.1

0.150.1

V C1

0.050

-0.05-0.2 -0.1

-0.15

(d) (e) (f)

Figura 5.4: Curvas y diagramas de fase con modelo de orden 1 y k=5

En el apendice D se presentan los resultados de la simulacion usando los modelos

de orden 2 y 3.

5.1.2. Resultados de H-SPICE Usando el Modelo Compor-

tamental

En esta seccion se muestran los resultados del circuito caotico utilizando el modelo

comportamental el cual se ha introducido en un bloque de VERILOG-A (El codigo

del modelo se muestra en el Apendice C).

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

66 5. Aplicaciones en Circuitos Caoticos

5 10 15 20 25

−0.2

−0.15

−0.1

−0.05

0

0.05

0.1

0.15

Tiempo

IL

5 10 15 20 25−0.4

−0.3

−0.2

−0.1

0

0.1

0.2

0.3

Tiempo

VC

1

5 10 15 20 25

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

Tiempo

V M

emC

(a) (b) (c)

−0.4 −0.3 −0.2 −0.1 0 0.1 0.2 0.3 0.4−0.2

−0.15

−0.1

−0.05

0

0.05

0.1

0.15

0.2

VC1

IL

−0.4−0.2

00.2

0.4

−1

−0.5

0

0.5

1−0.2

−0.1

0

0.1

0.2

VC1 V MemC

iL

(d) (e)

Figura 5.5: Curvas y diagramas de fase con modelo comportamental

5.1.3. Resultados de MATLAB Usando el Modelo Armonico

La simulacion numerica usando la integracion MATLAB ode23 se adopto para

investigar los comportamientos dinamicos de este circuito de memcapacitor.

−0.1 −0.05 0 0.05 0.1

−0.02

−0.01

0

0.01

0.02

0.03

VC1

VC

2

(a) (b)

Figura 5.6: Diagramas de fase de las variables de estado del circuito 1

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

5.2 Circuito 2 67

5.2. Circuito 2

Los resultados del comportamiento dinamico correspondiente al sistema es tomado

del circuito de la Figura 5.7 el cual muestra un modelo de circuito caotico memristivo.

Figura 5.7: Circuito 2

Las ecuaciones de estado son obtenidas de las Leyes de Kirchhoff, dadas como:

dv1dt

= 1C1

(il −W (φ)v1)

dv2dt

= 1C1

(Gv2 − iL)

diLdt

= 1L

(v2 − v1 −R il)

dφdt

= v1

(5.3)

Donde W (φ) es un memristor controlado por flujo, el cual es expresado por la

ecuacion cubica 5.4

q(φ) = 2 · 10−3φ3 − 6.7646 · 10−15φ2 − 3 · 10−9φ (5.4)

y se tiene que

W (φ) =dq(φ)

por lo que la ecuacion 5.4 queda expresada como

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

68 5. Aplicaciones en Circuitos Caoticos

W (φ) = 6 · 10−3φ2 − 13.5292 · 10−15φ− 3 · 10−9 (5.5)

Se sustituye x = v1, y = v2, z = iL y w = φ. El sistema de ecuaciones 5.3 puede

ser expresado como las siguientes ecuaciones:

x = 1C1

(z −W (φ)x)

y = 1C2

(Gy − z)

z = 1L

(y − x−Rz)

w = x

(5.6)

Los valores correspondiente a las elementos del circuito se presentan el la Tabla

5.3.

Parametros Valores

C1 0.5 F

C2 1 F

L 1 H

R 0.1 Ω

G 0.7 S

Tabla 5.3: Valores de los elementos del circuito caotico

5.2.1. Resultados de MATLAB Usando el Modelo q-φ

Las condiciones iniciales del circuito son (0,0.01,0,0), en la Figura 5.8a se muestra

el diagrama de fase de las variables de estado VC1 y VC2, y en la Figura 5.8b se

presentan las tres variables de estado.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

5.3 Circuito 3 69

−30 −20 −10 0 10 20 30−30

−20

−10

0

10

20

30

VC1

VC

2

(a) (b)

Figura 5.8: Diagramas de fase de las variables de estado del circuito 2

5.3. Circuito 3

El circuito caotico con un memristor controlado por flujo se muestra en la Figura

5.9. Este circuito se desarrollo a partir del circuito caotico de Chua al reemplazar el

diodo de Chua con un circuito de dos terminales que consiste en una conductancia

negativa y un memristor controlado por flujo.

Figura 5.9: Circuito 3

Aplicando la ley de circuito de Kirchhoff al circuito en la Figura 5.9, obtenemos un

conjunto de cuatro ecuaciones diferenciales de primer orden, que definen la relacion

entre las cuatro variables de circuito:

dv1dt

= 1C1

(v2−v1R

+Gv1 −W (φ) v1)dv2dt

= 1C1

(v1−v2R− iL)

diLdt

= 1L

(v2 − r il)dφdt

= v1

(5.7)

donde se tiene que x = v1, y = v2, z = iL y w = φ. La ecuacion 5.7 puede ser

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

70 5. Aplicaciones en Circuitos Caoticos

transformada a:

x = 1C1

(y−xR

+Gx−W (φ) v1)

y = 1C2

(x−yR− z)

z = 1L

(y − r z)

w = x

(5.8)

ademas W (φ) esta dada como:

W (φ) = 6 · 10−3φ2 − 13.5292 · 10−15φ− 3 · 10−9

Los valores correspondientes a los elementos del circuito caotico se muestran el la

Tabla 5.4.

Parametros Valores

C1 2.5 µF

C2 0.2 F

L 12.5 mH

R 5 Ω

r 1.25 mΩ

G 0.32 S

Tabla 5.4: Valores de los elementos del circuito caotico

5.3.1. Resultados de MATLAB Usando el Modelo q-φ

Las condiciones iniciales para este sistema caotico son (0.01,0,0,0), en la Figura

5.10a se muestra el diagrama de fase de VC1 contra VC2, y en la Figura 5.10b se

muestra en 3D las variables de estado que componen el sistema.

−30 −20 −10 0 10 20 30−30

−20

−10

0

10

20

VC1

VC

2

(a) (b)

Figura 5.10: Diagramas de fase de las variables de estado del circuito 3

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

5.3 Circuito 3 71

El modelo carga-flujo esta orientado a realizar simulacion de los circuitos a traves

de resolver el sistema de ecuaciones de estado que los define. Su uso por H-SPICE

u otro simulador electrico se ve limitado debido al hecho de que carga y flujo no

estan disponibles como variables en el motor de analisis. Si uno quisiera utilizarlo

se debe aplicar integracion numerica a voltaje y corriente para determinar flujo y

carga respectivamente, lo cual implica depender del esquema de integracion interno

del simulador, ademas de que se requiere de configuraciones de lazos integradores.

El modelo armonico y el modelo comportamental del dispositivo fabricado son

utiles en simulacion electrica para el circuito 1. Mayor trabajo debe realizarse para

su utilizacion para otros circuitos caoticos. Adicionalmente, el modelo del dispositi-

vo fabricado posee serios problemas para la simulacion con MATLAB, debido a su

naturaleza no continua.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

Capıtulo 6

Conclusiones

El presente trabajo ha demostrado la factibilidad de utilizar modelos analıticos,

tanto teoricos como provenientes de dispositivos fabricados, en la simulacion de

circuitos caoticos.

Los modelos teoricos desarrollados vienen en dos variantes. El primer modelo esta

dado por una funcion de memristancia variante en el tiempo y con una estructura

armonica anidada. El segundo modelo esta definido por una funcion constitutiva de

rama carga-flujo.

Tambien se desarrollo un modelo analıtico de un dispositivo real, el cual es un

dispositivo planar constituido por una pelıcula de grafeno depositado por medio de

recubrimiento por inmersion sobre un substrato de aluminio y utilizando contactos

de oxido de indio y estano (ITO). El modelo resultante consiste en un conjunto de

funciones no-lineales a trozos de memristancia variante en el tiempo. Es importante

senalar que la generacion del modelo del dispositivo fabricado involucro las tareas de

fabricacion, medicion, caracterizacion y extraccion del modelo durante la estancia de

movilidad en la Universidade Federal do ABC.

El modelo de memristor armonico y el modelo comportamental han sido codifi-

cados en lenguaje de descripcion de alto nivel VERILOG-A para ser utilizados en

simulacion electrica por la herramienta por excelencia de simulacion H-SPICE. El

modelo q-φ es codificado en una funcion analıtica para su uso en MATLAB.

Los circuitos utilizados como casos de estudio fueron seleccionados de tal manera

que ofrecen diversos retos a los modelos desarrollados. Los modelos armonico y com-

[73]

74 6. Conclusiones

portamental superan la dificultad de ser utilizados en un emulador de memcapacitor

que a su vez se incorpora al circuito primario. El modelo carga-flujo es utilizado en

los casos donde la funcion de rama forma parte de la ecuacion diferencial que define

el comportamiento del circuito.

Trabajo a Futuro

Varias lineas de investigacion pueden ser consideradas como trabajo a realizar en

el futuro, entre otras se pueden mencionar:

En este trabajo se realizo tambien la extraccion de los parametros fısicos del

dispositivo, por lo que a futuro se pretende mejorar el modelo desarrollado del mismo,

el cual ahora no solo dependa del tiempo sino de los parametros fısicos, ası como

obtener una ecuacion continua que modele el comportamiento del dispositivo

Desarrollar modelos flujo-carga a traves de inversion directa de las aproxima-

ciones PWL.

Generar un modelo del dispositivo fabricado donde se incluyan los parametros

fısicos y de fabricacion.

Desarrollar un modelo carga-flujo del dispositivo fabricado.

Estudiar la factibilidad del modelo comportamental en otras aplicaciones no

lineales.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Apendices

[75]

Apendice

Aproximaciones

En el presente apendice se muestran las aproximaciones por zonas de la resistencia

en funcion del tiempo. Ademas, se presentan el valor de los errores RMSE, NRMSE

y el porcentaje de similitud para cada caso.

A.1. Aproximaciones para la zona 1

Figura A.1: Aproximacion de la resistencia dependiente del tiempo en la zona 1

[77]

78 A. Aproximaciones

R1(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 1063.4561 0.2721 72.7833

Orden 3 657.1630 0.1681 83.1814

Orden 4 409.7747 0.1048 89.5127

Orden 5 246.4820 0.630e-1 93.6918

Orden 6 135.6551 0.347e-1 96.5282

Orden 7 64.8406 0.165e-1 98.3405

Orden 8 23.7102 0.0060 99.3931

Orden 9 0.00029 7.6330*10ˆ(-8) 97.77973077

Orden 10 86.85043 0.2220e-1 99.99999237

Tabla A.1: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 1

A.2. Aproximaciones para la zona 2

Figura A.2: Aproximacion de la resistencia dependiente del tiempo en la zona 2

R2(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 7.334320796*10ˆ6 0.0001466 99.98533136

Orden 3 3.436592977*10ˆ6 0.0000687 99.99732348

Orden 4 3.607165857*10ˆ6 0.000072143 99.99278567

Orden 5 1.338261112*10ˆ6 0.000026765 99.99312681

Orden 6 3.163153329*10ˆ7 0.63250e-3 99.93674958

Orden 7 9.860968813*10ˆ6 0.1971e-3 99.98028074

Orden 8 7.176162486*10ˆ7 0.001434085 99.85659148

Orden 9 5.373742498*10ˆ7 0.001074318 99.89256812

Orden 10 9.366573067*10ˆ8 0.01880837 98.11916204

Tabla A.2: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 2

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

A.3 Aproximaciones para la zona 3 79

A.3. Aproximaciones para la zona 3

Figura A.3: Aproximacion de la resistencia dependiente del tiempo en la zona 3

R3(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 80.954 1.619086162*10ˆ(-9) 99.99999984

Orden 3 103.699 2.073991318*10ˆ(-9) 99.99999979

Orden 4 80.95430 1.619086162*10ˆ(-9) 99.99999984

Orden 5 80.9543 1.619086162*10ˆ(-9) 99.99999984

Orden 6 106.5532 2.131065462*10ˆ(-9) 99.99999979

Orden 7 213.8073 4.276147800*10ˆ(-9) 99.99999957

Orden 8 80.9543 1.619086162*10ˆ(-9) 99.99999984

Orden 9 334.0562 6.681125734*10ˆ(-9) 99.99999933

Orden 10 80.9543 1.619086162*10ˆ(-9) 99.99999984

Tabla A.3: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 3

A.4. Aproximaciones para la zona 4

R4(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 0 0 100

Orden 3 115.470 4.198911047*10ˆ(-10) 99.99999996

Orden 4 0 0 100

Orden 5 0 0 100

Orden 6 135.4006 4.923659640*10ˆ(-10) 99.99999995

Orden 7 95.7427 3.481553119*10ˆ(-10) 99.99999997

Orden 8 0 0 100

Orden 9 329.1402 1.196873795*10ˆ(-9) 99.99999988

Orden 10 0 0 100

Tabla A.4: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 4

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

80 A. Aproximaciones

Figura A.4: Aproximacion de la resistencia dependiente del tiempo en la zona 4

A.5. Aproximaciones para la zona 5

Figura A.5: Aproximacion de la resistencia dependiente del tiempo en la zona 5

R5(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 817.1265 2.971369267*10ˆ(-9) 99.99999970

Orden 3 1201.3399 4.368509100*10ˆ(-9) 99.99999956

Orden 4 1311.1894 4.767961679*10ˆ(-9) 99.99999952

Orden 5 1127.019 4.098254080*10ˆ(-9) 99.99999959

Orden 6 1493.2165 5.429878536*10ˆ(-9) 99.99999946

Orden 7 3252.7043 1.182801580*10ˆ(-8) 99.99999882

Orden 8 1476.217 5.368063267*10ˆ(-9) 99.99999946

Orden 9 9666.7561 3.515184297*10ˆ(-8) 99.99999648

Orden 10 1170.544 4.256524485*10ˆ(-9) 99.99999957

Tabla A.5: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 5

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

A.6 Aproximaciones para la zona 6 81

A.6. Aproximaciones para la zona 6

Figura A.6: Aproximacion de la resistencia dependiente del tiempo en la zona 6

R6(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 295.8693 0.23799794 76.20020545

Orden 3 183.6689 .157417 84.25823166

Orden 4 109.6718 0.95033e-1 90.49667991

Orden 5 64.5815 0.5745438e-1 94.25456176

Orden 6 33.4225 0.029730 97.02699638

Orden 7 17.21183 0.157329e-1 98.42670590

Orden 8 17.6589 0.1564e-1 98.43587730

Orden 9 970.1026 1.385860 38.586

Orden 10 21.93452 0.019566 98.04330739

Tabla A.6: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 6

A.7. Aproximaciones para la zona 7

R7(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 398.1956 7.963913191*10ˆ(-9) 99.99999920

Orden 3 340.5286 6.810573540*10ˆ(-9) 99.99999743

Orden 4 478.2549 9.565098635*10ˆ(-9) 99.99999904

Orden 5 449.8797 8.997595120*10ˆ(-9) 99.99999910

Orden 6 1283.9625 2.567925157*10ˆ(-8) 99.99999932

Orden 7 707.5024 1.415005033*10ˆ(-8) 99.99999858

Orden 8 734.7052 1.469410513*10ˆ(-8) 99.99999853

Orden 9 1298.1767 2.596353667*10ˆ(-8) 99.99999740

Orden 10 576.1595 1.152319048*10ˆ(-8) 99.99999885

Tabla A.7: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 7

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

82 A. Aproximaciones

Figura A.7: Aproximacion de la resistencia dependiente del tiempo en la zona 7

A.8. Aproximaciones para la zona 8

Figura A.8: Aproximacion de la resistencia dependiente del tiempo en la zona 8

R8(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 80.95430 1.619086162*10ˆ(-9) 99.99999984

Orden 3 124.392 2.487858511*10ˆ(-9) 99.99999975

Orden 4 80.95430 1.619086162*10ˆ(-9) 99.99999984

Orden 5 80.954308 1.619086162*10ˆ(-9) 99.99999984

Orden 6 313.9324 6.278649436*10ˆ(-9) 99.99999937

Orden 7 1971.1706 3.942341313*10ˆ(-8) 99.99999606

Orden 8 80.9543 1.619086162*10ˆ(-9) 99.99999984

Orden 9 222.24670 4.444934187*10ˆ(-9) 99.99999956

Orden 10 80.9543 1.619086162*10ˆ(-9) 99.99999984

Tabla A.8: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 8

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

A.9 Aproximaciones para la zona 9 83

A.9. Aproximaciones para la zona 9

Figura A.9: Aproximacion de la resistencia dependiente del tiempo en la zona 9

R9(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 0 0 100

Orden 3 346.4101 1.259673316*10ˆ(-9) 99.99999987

Orden 4 0 0 100

Orden 5 0 0 100

Orden 6 358.2364 1.302677893*10ˆ(-9) 99.99999987

Orden 7 193.6491 7.041787901*10ˆ(-10) 99.99999993

Orden 8 0 0 100

Orden 9 1874.611 6.816767525*10ˆ(-9) 99.99999932

Orden 10 0 0 100

Tabla A.9: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 9

A.10. Aproximaciones para la zona 10

R10(t)

Orden de aproximacion RMSE NRMSE S( %)

Orden 2 3827.088 1.391668667*10ˆ(-8) 99.99999831

Orden 3 6339.2908 2.305196686*10ˆ(-8) 99.99999769

Orden 4 5399.763 1.963550539*10ˆ(-8) 99.99999804

Orden 5 4.324242605*10ˆ11 17.29697042 -1629.697042

Orden 6 19791.3053 7.196838324*10ˆ(-8) 99.99999280

Orden 7 4570.1869 1.661886171*10ˆ(-8) 99.99999834

Orden 8 6351.8980 2.309781028*10ˆ(-8) 99.99999769

Orden 9 16906.2005 6.147709291*10ˆ(-8) 99.99999385

Orden 10 4637.5218 1.686371583*10ˆ(-8) 99.99999861

Tabla A.10: Errores RMS, NRMSE y porcentaje de similitud de la resistencia en la zona 10

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

84 A. Aproximaciones

Figura A.10: Aproximacion de la resistencia dependiente del tiempo en la zona 10

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Apendice

Codigo de Verilog-A para el

Modelo Armonico

En este presente apendice se presenta el codigo de Verilog-A de modelo armonico

del memristor.

B.1. Codigo para Orden 1 y k=5

‘include "const.va"

‘include "std.va"

‘include "disciplines.vams"

module Memristor(in,out);

inout in,out;

electrical in,out;

parameter real Delta = 10.0e-9;

parameter real Ron = 100;

parameter real mu = 1.0e-14;

parameter real alpha = 160;

parameter real Ap=400.0e-7;

parameter real omega=1;

parameter real Xo=0.1;

real mem, im, Rinit, fw, gamma1;

analog begin

gamma1 = ((mu*Ap)/(Delta*Delta*omega));

fw = 1-pow((2*Xo-1),10);

Rinit = (Xo+alpha*(1-Xo))*Ron;

mem = Ron*Ron*(fw)*(Gamma)*(alpha-1)

*(-1+cos(omega*($abstime)))

+Rinit;

I(in,out) <+ (V(in,out))/mem;

end

endmodule

[85]

86 B. Codigo de Verilog-A para el Modelo Armonico

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

B.2 Codigo para Orden 2 y k=5 87

B.2. Codigo para Orden 2 y k=5

‘include "const.va"

‘include "std.va"

‘include "disciplines.vams"

module Memristor(in,out);

inout in,out;

electrical in,out;

parameter real Delta = 10.0e-9;

parameter real Ron = 100;

parameter real mu = 1.0e-14;

parameter real alpha = 160;

parameter real Ap=400.0e-7;

parameter real omega=1;

parameter real Xo=0.1;

real mem, im, Rinit, fw, fw1, gamma1;

analog begin

gamma1 = ((mu*Ap)/(Delta*Delta*omega));

fw = 1-pow((2*Xo-1),10);

fw1 = -20*pow((2*Xo-1),19);

Rinit = (Xo+alpha*(1-Xo))*Ron;

mem = Ron*Ron*fw*gamma1*(alpha-1)*(-1+cos(omega*$abstime))+Rinit

+pow(Ron,3)*fw*fw1*gamma1*gamma1*(alpha-1)*(-3/4

+cos(omega*$abstime)-1/4*cos(2*omega*$abstime));

I(in,out) <+ (V(in,out))/mem;

end

endmodule

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

88 B. Codigo de Verilog-A para el Modelo Armonico

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

B.3 Codigo para Orden 3 y k=5 89

B.3. Codigo para Orden 3 y k=5

‘include "const.va"

‘include "std.va"

‘include "disciplines.vams"

module Memristor(in,out);

inout in,out;

electrical in,out;

parameter real Delta = 10.0e-9;

parameter real Ron = 100;

parameter real mu = 1.0e-14;

parameter real alpha = 160;

parameter real Ap=400.0e-7;

parameter real omega=1;

parameter real Xo=0.1;

real mem, im, Rinit, fw, fw1, fw2, Px, gamma1;

analog begin

gamma1 = ((mu*Ap)/(Delta*Delta*omega));

fw = 1-pow((2*Xo-1),10);

fw1 = -20*pow((2*Xo-1),19);

fw2 = -360*pow((2*Xo-1),8);

Px = 9728*pow(Xo,10)+48640*pow(Xo,9)+109440pow(Xo,8)+145920*

pow(Xo,7)+127680pow(Xo,6)+76608pow(Xo,5)+31920pow(Xo,4)

+9120pow(Xo,3)+1710pow(Xo,2)+190Xo+5;

Rinit = (Xo+alpha*(1-Xo))*Ron;

mem = Ron*Ron*fw*gamma1*(alpha-1)*(-1+cos(omega*$abstime))+Rinit

+pow(Ron,3)*fw*fw1*gamma1*gamma1*(alpha-1)*(-3/4

+cos(omega*$abstime)-1/4*cos(2*omega*$abstime))

+pow(Ron,4)*fw*fw2*pow(gamma1,3)*Px*(alpha-1)

*(5/54-5/36*cos(omega*$abstime)+1/18cos(2*omega*$abstime)

-1/108*cos(3*omega*$abstime));

I(in,out) <+ (V(in,out))/mem;

end

endmodule

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

Apendice

Codigo de Verilog-A para el

Modelo Comportamental

El presente apendice muestra el codigo Verilog-A utilizado para describir el modelo

memristivo comportamental del dispositivo de Al/Graf/ITO.

‘include "const.va"

‘include "std.va"

‘include "disciplines.vams"

‘include "constants.vams"

module Memristor(in,out);

inout in,out;

electrical in,out;

parameter real To=4.8;

//Para la Seccion 1 O10

parameter real A0_R1=994.58;

parameter real A1_R1=-2171.343260;

parameter real A2_R1=51498.31871;

parameter real A3_R1=-4.767356694e5;

parameter real A4_R1=2.267606161e6;

parameter real A5_R1=-6.077573620e6;

parameter real A6_R1=9.317769693e6;

parameter real A7_R1=-7.722856825e6;

parameter real A8_R1=2.846043527e6;

parameter real A9_R1=-1.953488560e5;

parameter real A10_R1=14872.34575;

//Para la Seccion 2 O3

parameter real A0_R2=-4.407423548e11;

parameter real A1_R2=4.827463393e11;

parameter real A2_R2=5.451346009e9;

parameter real A3_R2=2.544669480e9;

//Para la Seccion 3 O2

parameter real A0_R3=3.000000000e11;

parameter real A1_R3=-2.500000000e11;

parameter real A2_R3=0;

//Para la Seccion 4 O2

parameter real A0_R4=-3e11;

parameter real A1_R4=2.5e11;

parameter real A2_R4=0;

//Para la Seccion 5 O2

parameter real A0_R5=6.599999977e12;

parameter real A1_R5=-2.749999990e12;

parameter real A2_R5=2;

//Para la Seccion 6 O8

parameter real A0_R6=3.230141041e8;

parameter real A1_R6=-1.053507806e9;

parameter real A2_R6=1.482630767e9;

parameter real A3_R6=-1.178785987e9;

parameter real A4_R6=5.801412032e8;

parameter real A5_R6=-1.812239972e8;

parameter real A6_R6=3.512666904e7;

parameter real A7_R6=-3.865813115e6;

parameter real A8_R6=1.850694228e5;

//Para la Seccion 7 O6

parameter real A0_R7=-2.792033935e12;

parameter real A1_R7=2.013657036e12;

parameter real A2_R7=-7.310567199e11;

parameter real A3_R7=1.316918676e11;

[90]

91

parameter real A4_R7=6.317718693e9;

parameter real A5_R7=-5.045564877e9;

parameter real A6_R7=4.653099288e8;

//Para la Seccion 8 O2

parameter real A0_R8=9e11;

parameter real A1_R8=-2.5e11;

parameter real A2_R8=0;

//Para la Seccion 9 O2

parameter real A0_R9=-9e11;

parameter real A1_R9=2.5e11;

parameter real A2_R9=0;

//Para la Seccion 10 O10

parameter real A0_R10=1.320001852e13;

parameter real A1_R10=-2.750007481e12;

parameter real A2_R10=-1.787809225e6;

parameter real A3_R10=9.510311124e5;

parameter real A4_R10=65134.88241;

parameter real A5_R10=-56567.15831;

parameter real A6_R10=2661.705655;

parameter real A7_R10=637.6209148;

parameter real A8_R10=66.22164248;

parameter real A9_R10=-26.15799275;

parameter real A10_R10=1.493646815;

real Req;

real n;

analog begin

if ($abstime<To)

n=1;

else if ($abstime>=To && $abstime<2*To)

n=2;

else if ($abstime>=2*To && $abstime<3*To)

n=3;

else if ($abstime>=3*To && $abstime<4*To)

n=4;

else if ($abstime>=4*To && $abstime<5*To)

n=5;

else if ($abstime>=5*To && $abstime<6*To)

n=6;

if ((n-1)*To<=$abstime &&

$abstime<(n-1)*To+0.9 )

Req = A0_R1

+ A1_R1*($abstime-(n-1)*To)

+ A2_R1*pow(($abstime-(n-1)*To),2)

+ A3_R1*pow(($abstime-(n-1)*To),3)

+ A4_R1*pow(($abstime-(n-1)*To),4)

+ A5_R1*pow(($abstime-(n-1)*To),5)

+ A6_R1*pow(($abstime-(n-1)*To),6)

+ A7_R1*pow(($abstime-(n-1)*To),7)

+ A8_R1*pow(($abstime-(n-1)*To),8)

+ A9_R1*pow(($abstime-(n-1)*To),9)

+ A10_R1*pow(($abstime-(n-1)*To),10);

else if ((n-1)*To+0.9 <=$abstime &&

$abstime<(n-1)*To+1)

Req = A0_R2

+ A1_R2*($abstime-(n-1)*To)

+ A2_R2*pow(($abstime-(n-1)*To),2)

+ A3_R2*pow(($abstime-(n-1)*To),3);

else if ((n-1)*To+1 <= $abstime &&

$abstime < (n-1)*To+1.2)

Req = A0_R3

+ A1_R3*($abstime-(n-1)*To)

+ A2_R3*pow(($abstime-(n-1)*To),2);

else if ((n-1)*To+1.2 <= $abstime &&

$abstime < (n-1)*To+2.3)

Req = A0_R4

+ A1_R4*($abstime-(n-1)*To)

+ A2_R4*pow(($abstime-(n-1)*To),2);

else if ((n-1)*To+2.3 <= $abstime &&

$abstime < (n-1)*To+2.4)

Req = A0_R5

+ A1_R5*($abstime-(n-1)*To)

+ A2_R5*pow(($abstime-(n-1)*To),2);

else if ((n-1)*To+2.4 <= $abstime &&

$abstime < (n-1)*To+3.3)

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

92 C. Codigo de Verilog-A para el Modelo Comportamental

Req = A0_R6

+ A1_R6*($abstime-(n-1)*To)

+ A2_R6*pow(($abstime-(n-1)*To),2)

+ A3_R6*pow(($abstime-(n-1)*To),3)

+ A4_R6*pow(($abstime-(n-1)*To),4)

+ A5_R6*pow(($abstime-(n-1)*To),5)

+ A6_R6*pow(($abstime-(n-1)*To),6)

+ A7_R6*pow(($abstime-(n-1)*To),7)

+ A8_R6*pow(($abstime-(n-1)*To),8);

else if ((n-1)*To+3.3 <= $abstime &&

$abstime < (n-1)*To+3.4)

Req = A0_R7

+ A1_R7*($abstime-(n-1)*To)

+ A2_R7*pow(($abstime-(n-1)*To),2)

+ A3_R7*pow(($abstime-(n-1)*To),3)

+ A4_R7*pow(($abstime-(n-1)*To),4)

+ A5_R7*pow(($abstime-(n-1)*To),5)

+ A6_R7*pow(($abstime-(n-1)*To),6);

else if ((n-1)*To+3.4 <= $abstime &&

$abstime < (n-1)*To+3.6)

Req = A0_R8

+ A1_R8*($abstime-(n-1)*To)

+ A2_R8*pow(($abstime-(n-1)*To),2);

else if ((n-1)*To+3.6 <= $abstime &&

$abstime < (n-1)*To+4.7)

Req = A0_R9

+ A1_R9*($abstime-(n-1)*To)

+ A2_R9*pow(($abstime-(n-1)*To),2);

else

Req = A0_R10

+ A1_R10*($abstime-(n-1)*To)

+ A2_R10*pow(($abstime-(n-1)*To),2)

+ A3_R10*pow(($abstime-(n-1)*To),3)

+ A4_R10*pow(($abstime-(n-1)*To),4)

+ A5_R10*pow(($abstime-(n-1)*To),5)

+ A6_R10*pow(($abstime-(n-1)*To),6)

+ A7_R10*pow(($abstime-(n-1)*To),7)

+ A8_R10*pow(($abstime-(n-1)*To),8)

+ A9_R10*pow(($abstime-(n-1)*To),9)

+ A10_R10*pow(($abstime-(n-1)*To),10);

I(in,out)<+(V(in,out))/Req;

end

endmodule

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Apendice

Circuito 1: Resultados del Modelo

Armonico de Orden 2 y 3

En el presenta Apendice se presentan los resultados de las simulaciones hechas

con el modelo de orden 2 y orden 3.

D.1. Resultados con el Modelo de Orden 2 y k =

5

0 500 1000 1500 2000 2500 3000

Time

-0.1

-0.08

-0.06

-0.04

-0.02

0

0.02

0.04

0.06

0.08

0.1

I L

0 500 1000 1500 2000 2500 3000

Time

-0.06

-0.04

-0.02

0

0.02

0.04

0.06

0.08

0.1

0.12

0.14

V C

1

0 500 1000 1500 2000 2500 3000

Time

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C

(a) (b) (c)

-0.06 -0.04 -0.02 0 0.02 0.04 0.06 0.08 0.1 0.12 0.14

V C1

-0.1

-0.08

-0.06

-0.04

-0.02

0

0.02

0.04

0.06

0.08

0.1

I L

-0.06 -0.04 -0.02 0 0.02 0.04 0.06 0.08 0.1 0.12 0.14

V C1

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C

-0.1

0.2

-0.05

0.150.1

0

I L

0.1

V MemC

0.05

0

V C1

0.05

0.1

-0.10

-0.2 -0.05

(d) (e) (f)

Figura D.1: Curvas y diagramas de fase con modelo de orden 2 y k=5

[93]

94 D. Circuito 1: Resultados del Modelo Armonico de Orden 2 y 3

D.2. Resultados con el Modelo de Orden 3 y k =

5

0 500 1000 1500 2000 2500 3000

Time

-0.2

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

I L

0 500 1000 1500 2000 2500 3000

Time

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V C

1

0 500 1000 1500 2000 2500 3000

Time

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C

(a) (b) (c)

-0.15 -0.1 -0.05 0 0.05 0.1 0.15 0.2

V C1

-0.2

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

I L

-0.15 -0.1 -0.05 0 0.05 0.1 0.15 0.2

V C1

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

V M

em

C -0.2

0.2

0.1

V MemC

0

0.20.15

-0.1 0.1

V C1

0.050

-0.05-0.1-0.2

-0.15

0

I L

0.2

(d) (e) (f)

Figura D.2: Curvas y diagramas de fase con modelo de orden 3 y k=5

Como puede notarse los resultados vistos con el modelo de orden 3 Figura D.2

presenta mas desorden que los resultados del modelo de orden 2 Figura D.1 y esto se

debe por que le modelo de orden 2 se comporta parcialmente activo.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

Bibliografıa

[1] L. Chua. Memristor-“the missing circuit element”. IEEE Transactions on,

18(5):507–519, 1971.

[2] Strukov D. & Snider G. & Steward & Williams R. The missing memristor found.

Nature, 453(7191):pp. 80–83, 2008.

[3] L. O. Chua & Sung Mo Kang. Memristive devices and systems. Proceedings of

the IEEE, 64(2):209–223, Feb 1976.

[4] D. Biolek, Z. Biolek, V. Biolkova, and Z. Kolka. Some fingerprints of ideal

memristors. pages 201–204, May 2013.

[5] Shyam Prasad Adhikari et al. Three fingerprints of memristor. IEEE Transac-

tions on Circuits and Systems, 60(11):3008–3021, 2013.

[6] Maheshwar Pd Sah y Shyam Prasad Adhikari. Hyongsuk Kim. Pinched hysteresis

loops is the fingerprint of memristive devices. 2012.

[7] L. O. Chua. A bundary condition-based approach to the modeling of memristor

nanostructures. Appl. Phys. A, 102:2713–2726, 2011.

[8] Y. V. Pershin and M. Di Ventra. Teaching memory circuit elements via

experiment-based learning. Circuits and Systems Magazine, IEEE, 12(1):64–74,

2012.

[9] Y. V. Pershin M. Di Ventra and L. O. Chua. Putting memory into circuit

elements: Memristors, memcapacitors, and meminductors [point of view]. Pro-

ceedings of the IEEE, 97(8):1371–1372, 2009.

[95]

96 BIBLIOGRAFIA

[10] Y. V. Pershin M. Di Ventra and L. O. Chua. Circuit elements with me-

mory: Memristors, memcapacitors, and meminductors. Proceedings of the IEEE,

97(10):1717–1724, Oct. 2009.

[11] Leon O. Chua. The fourth element. in Proceedings of the IEEE. UC Berkeley,

Berkeley, United States, page 1920–1927, June 2012.

[12] Frank Z. Wang. A triangular periodic table of elementary circuit elements. IEEE

Transactions on Circuits and Systems I: Regular Papers, 60(3):616–623, Feb.

2013.

[13] V. Biolkova D. Biolek and Z. Kolka. Mutators simulating memcapacitors and

meminductors. in Circuits and Systems (APCCAS), 2010 IEEE Asia Pacific

Conference on, page 800–803, 2010.

[14] Y. Pershin and M. Di Ventra. Emulation of floating memcapacitors and memin

ductors using current conveyors. Electronics Letters, 47(4):243–244, 2011.

[15] S. Wu M. Lim Y. Guo F. Wang, N. Helian and A. Parker. Delayed switching in

memristors and memristive systems. IEEE Electron Device Lett., 31(7):755–757,

2010.

[16] Y. Guo S. Wu X. Yang M. Lim F. Wang, N. Helian and M. Rashid.

Delayed switching applied to memristor neural networks. J. Appl. Phys.,

111(7):07E317–07E317–3, Dec. 15, 2011.

[17] L.Chua. Resistance switching memories are memristors. Appl. Phys. A,

102:765–783, Jan. 2011.

[18] 1-4. Memristors and memristive systems. R.S., pages 3–16, Springer, New York

(2014).

[19] Y.V. Pershin and M. Di Ventra. Memristive circuits simulate memcapacitors and

meminductors. Electronics letters, 46(7), April 2010.

[20] K. Eshraghian S. F. Al-Sarawi Omid Kavehei, A. Iqbal and D. Abbotti. The

fourth element: characteristics, modelling and electromagnetic theory of the

memristor. Proceedings of the Royal Society A, pages 2175–22027, March 2010.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica

BIBLIOGRAFIA 97

[21] Yogesh N Joglekar & Stephen j Wolf. The elusive memristor: properties of basic

electrical circuits. European Journal of Physics, 30(1):p. 661, 2009.

[22] Yojanes Andres Rodrıguez Velasquez. Development of an analytical model for

a charge-controlled memristor and its applications. Electronics letters, INAOE

2017.

[23] Ji-Huan He. Homotopy pertubation method: a new nonlinear analytical techni-

que. Applied Mathematics and computation, 135(1):73–79, 2003.

[24] H Vazques. Generalized homotopy for solving nonlinear diffetential equations.

Computational and Applied Mathematics, 33(1):275–288, 2014.

[25] G. Sarmiento A. & Hernandez L. & Vazques H. & Hernandez, C. &Diaz. A fully

symbolic homotopy-based memristor model for applications to circuit simula-

tion. Journal of Analog Integrated Circuits and Signal Processing, 85(1):65–80,

October 2015.

[26] C. Papavassiliou Prodromakis, B. P. Peh and C. Toumazou. A versatile mem-

ristor model with nonlinear dopant kinetics. Electron Devices, IEEE Transac-

tions on,, 58:3099–3105, Sept 2011.

[27] D. Biolek Z. Biolek and V. Biolkova. Spice model of memristor with nonlinear

dopant drift. Radioengineering, 18(2):3099–3105, 2009.

[28] Shinbuhm Lee Jae Sung Lee and Tae Won Noh. Resistive switching phenomena:

A review of statistical physics approaches. Radioengineering, 18(2):3099–3105,

published online 31 August 2015.

[29] J. F. Verweij and J. H. Klootwijk. Resistive switching phenomena: A review of

statistical physics approaches. Microelectronics J., pages 611–622, 1996.

[30] Georgi Staikov Rainer Waser, Regina Dittmann and Kristof Szot. Redox-based

resistive switching memories – nanoionic mechanisms, prospects, and challenges.

Wiley, InterScience, 21:2632–2663, 2009.

[31] Akihito Sawa. Resistive switching in transition metal oxides. Materials today,

11:28–36, June 2008.

Desarrollo de Modelos del Memristor para Aplicaciones en Circuitos Electronicos

98 BIBLIOGRAFIA

[32] Gyeong-Su Park et al. Observation of electric-field induced ni filament channels

in polycrystalline niox film. Applied Physics Letters, 91:222103–222103, 2007.

[33] Kohei Fujiwara et al. Resistance switching and formation of a conductive bridge

in metal/binary oxide/metal structure for memory devices. Japanese Journal of

Applied Physics, 47:6266–6271, 2008.

[34] Martin Guido Middelhoek. The identification of analytical device model. Ja-

panese Journal of Applied Physics, TU Delft, Delft University of Technology,

1992.

Departamento de Electronica Instituto Nacional de Astrofısica, Optica y Electronica